aboutsummaryrefslogtreecommitdiffstats
path: root/tests/filters/capitalize-stdin.sh
blob: da6f7a1b181bf4bf6427e75c8d21a27dfb9f0f16 (plain) (blame)
1
2
3
4
#!/bin/sh

[ "$#" -gt 0 ] && printf "%s " "$*"
tr '[:lower:]' '[:upper:]'