aboutsummaryrefslogtreecommitdiffstats
path: root/drivers/clk (follow)
AgeCommit message (Expand)AuthorFilesLines
2018-03-19clk: bcm2835: Protect sections updating shared registersBoris Brezillon1-0/+4
2018-03-19clk: bcm2835: Fix ana->maskX definitionsBoris Brezillon1-4/+4
2018-03-15clk: aspeed: Prevent reset if clock is enabledEddie James1-12/+17
2018-03-15clk: aspeed: Fix is_enabled for certain clocksEddie James1-1/+2
2018-03-12clk: qcom: msm8916: Fix return value check in qcom_apcs_msm8916_clk_probe()Wei Yongjun1-3/+2
2018-03-12clk: hisilicon: hi3660:Fix potential NULL dereference in hi3660_stub_clk_probe()Wei Yongjun1-0/+2
2018-03-12Merge branch 'clk-helpers' (early part) into clk-fixesStephen Boyd1-1/+3
2018-03-12clk: fix determine rate error with pass-through clockJerome Brunet1-1/+3
2018-03-12Merge branch 'clk-phase' into clk-fixesStephen Boyd1-1/+4
2018-03-12Merge tag 'ti-clk-fixes-4.16' of https://github.com/t-kristo/linux-pm into clk-fixesStephen Boyd3-2/+4
2018-03-12Merge tag 'clk-imx-fixes-4.16' of git://git.kernel.org/pub/scm/linux/kernel/git/shawnguo/linux into clk-fixesStephen Boyd1-3/+17
2018-03-12Merge tag 'sunxi-clk-fixes-for-4.16' of https://git.kernel.org/pub/scm/linux/kernel/git/sunxi/linux into clk-fixesStephen Boyd1-3/+3
2018-03-12clk: migrate the count of orphaned clocks at initJerome Brunet1-16/+21
2018-03-11clk: update cached phase to respect the fact when setting phaseShawn Lin1-1/+4
2018-03-08clk: ti: am43xx: add set-rate-parent support for display clkctrl clockTero Kristo1-1/+1
2018-03-08clk: ti: am33xx: add set-rate-parent support for display clkctrl clockTero Kristo1-1/+1
2018-03-08clk: ti: clkctrl: add support for CLK_SET_RATE_PARENT flagTero Kristo1-0/+2
2018-02-22clk: imx51-imx53: Fix UART4/5 registration on i.MX50 and i.MX53Fabio Estevam1-3/+17
2018-02-19clk: sunxi-ng: a31: Fix CLK_OUT_* clock opsChen-Yu Tsai1-3/+3
2018-02-07Merge tag 'mips_4.16' of git://git.kernel.org/pub/scm/linux/kernel/git/jhogan/mipsLinus Torvalds5-18/+566
2018-02-01Merge tag 'clk-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/clk/linuxLinus Torvalds105-5767/+11752
2018-02-01Merge tag 'armsoc-dt' of git://git.kernel.org/pub/scm/linux/kernel/git/arm/arm-socLinus Torvalds14-1052/+2053
2018-01-26Merge branches 'clk-aspeed', 'clk-lock-UP', 'clk-mediatek' and 'clk-allwinner' into clk-nextStephen Boyd15-104/+929
2018-01-26Merge branches 'clk-remove-asm-clkdev', 'clk-debugfs-fixes', 'clk-renesas' and 'clk-meson' into clk-nextStephen Boyd21-163/+1276
2018-01-26Merge branch 'clk-divider-container' into clk-nextStephen Boyd6-9/+9
2018-01-26Merge branches 'clk-iproc', 'clk-mvebu' and 'clk-qcom-a53' into clk-nextStephen Boyd10-102/+737
2018-01-26Merge branches 'clk-at91', 'clk-imx7ulp', 'clk-axigen', 'clk-si5351' and 'clk-pxa' into clk-nextStephen Boyd7-41/+146
2018-01-26Merge branches 'clk-spreadtrum', 'clk-mvebu-dvfs', 'clk-qoriq', 'clk-imx' and 'clk-qcom-ipq8074' into clk-nextStephen Boyd29-81/+7213
2018-01-26Merge branches 'clk-qcom-alpha-pll', 'clk-check-ops-ptr', 'clk-protect-rate' and 'clk-omap' into clk-nextStephen Boyd15-5241/+918
2018-01-26clk: aspeed: Handle inverse polarity of USB port 1 clock gateBenjamin Herrenschmidt1-3/+12
2018-01-26clk: aspeed: Fix return value check in aspeed_cc_init()Wei Yongjun1-1/+1
2018-01-26clk: aspeed: Add reset controllerJoel Stanley1-1/+81
2018-01-26clk: aspeed: Register gated clocksJoel Stanley1-0/+130
2018-01-26clk: aspeed: Add platform driver and register PLLsJoel Stanley1-0/+130
2018-01-26clk: aspeed: Register core clocksJoel Stanley1-0/+177
2018-01-26clk: Add clock driver for ASPEED BMC SoCsJoel Stanley3-0/+154
2018-01-18clk: Add Ingenic jz4770 CGU driverPaul Cercueil2-0/+484
2018-01-18clk: ingenic: Add code to enable/disable PLLsPaul Cercueil1-15/+74
2018-01-18clk: ingenic: support PLLs with no bypass bitPaul Cercueil2-1/+4
2018-01-18clk: ingenic: Fix recalc_rate for clocks with fixed dividerPaul Cercueil1-0/+2
2018-01-18clk: ingenic: Use const pointer to clk_ops in structPaul Cercueil2-2/+2
2018-01-10clk: mediatek: adjust dependency of reset.c to avoid unexpectedly being builtSean Wang3-9/+2
2018-01-10clk: fix reentrancy of clk_enable() on UP systemsDavid Lechner1-1/+9
2018-01-10clk: meson-axg: fix potential NULL dereference in axg_clkc_probe()weiyongjun (A)1-0/+2
2018-01-10clk: Simplify debugfs registrationStephen Boyd1-6/+2
2018-01-10clk: Fix debugfs_create_*() usageGeert Uytterhoeven1-19/+17
2018-01-10clk: Show symbolic clock flags in debugfsGeert Uytterhoeven1-2/+55
2018-01-05clk: renesas: r8a7796: Add FDP clockABE Hiroshige1-0/+1
2018-01-04clk: Move __clk_{get,put}() into private clk.h APIStephen Boyd1-0/+4
2018-01-04clk: sunxi: Use CLK_IS_CRITICAL flag for critical clksStephen Boyd6-47/+44