aboutsummaryrefslogtreecommitdiffstats
path: root/include/dt-bindings (follow)
AgeCommit message (Expand)AuthorFilesLines
2017-07-15Merge branch 'upstream' of git://git.linux-mips.org/pub/scm/ralf/upstream-linusLinus Torvalds1-0/+14
2017-07-11dt-bindings: Document img,boston-clock bindingPaul Burton1-0/+14
2017-07-07Merge tag 'gpio-v4.13-1' of git://git.kernel.org/pub/scm/linux/kernel/git/linusw/linux-gpioLinus Torvalds1-0/+4
2017-07-07Merge tag 'clk-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/clk/linuxLinus Torvalds22-5/+1165
2017-07-04Merge tag 'armsoc-dt64' of git://git.kernel.org/pub/scm/linux/kernel/git/arm/arm-socLinus Torvalds2-0/+169
2017-07-04Merge tag 'armsoc-drivers' of git://git.kernel.org/pub/scm/linux/kernel/git/arm/arm-socLinus Torvalds3-0/+174
2017-07-04Merge tag 'armsoc-dt' of git://git.kernel.org/pub/scm/linux/kernel/git/arm/arm-socLinus Torvalds7-1/+138
2017-07-04Merge tag 'armsoc-soc' of git://git.kernel.org/pub/scm/linux/kernel/git/arm/arm-socLinus Torvalds1-0/+19
2017-07-03Merge tag 'char-misc-4.13-rc1' of git://git.kernel.org/pub/scm/linux/kernel/git/gregkh/char-miscLinus Torvalds1-0/+16
2017-07-03Merge branch 'irq-core-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/tip/tipLinus Torvalds1-0/+15
2017-06-29Merge tag 'actions-drivers-for-4.13' of git://git.kernel.org/pub/scm/linux/kernel/git/afaerber/linux-actions into next/driversArnd Bergmann1-0/+19
2017-06-29Merge tag 'amlogic-dt64-2' of git://git.kernel.org/pub/scm/linux/kernel/git/khilman/linux-amlogic into next/dt64Arnd Bergmann1-1/+9
2017-06-29Merge tag 'amlogic-dt-2' of git://git.kernel.org/pub/scm/linux/kernel/git/khilman/linux-amlogic into next/dtArnd Bergmann2-1/+19
2017-06-23dt-bindings: power: Add Owl SPS power domainsAndreas Färber1-0/+19
2017-06-23irqchip/irq-mvebu-icu: Add new driver for Marvell ICUThomas Petazzoni1-0/+15
2017-06-21clk: hisilicon: add usb2 clocks for hi3798cv200 SoCJiancheng Xue1-1/+8
2017-06-19dt-bindings: clk: Extend binding doc for Stingray SOCSandeep Tripathy1-0/+101
2017-06-19clk: mediatek: export cpu multiplexer clock for MT8173 SoCsSean Wang1-1/+3
2017-06-19clk: mediatek: export cpu multiplexer clock for MT2701/MT7623 SoCsSean Wang1-1/+2
2017-06-19clk: hi6220: add acpu clockZhangfei Gao1-0/+4
2017-06-19clk: zx296718: export I2S mux clocksShawn Guo1-1/+5
2017-06-19clk: imx7d: create clocks behind rawnand clock gateStefan Agner1-1/+3
2017-06-19clk: hi3660: add clocks for video encoder, decoder and ISPChen Jun1-0/+17
2017-06-19clk: qcom: Add DT bindings for ipq8074 gcc clock controllerAbhishek Sahu1-0/+152
2017-06-19clk: add DT bindings header for Gemini clock controllerLinus Walleij1-0/+29
2017-06-19reset: add DT bindings header for Gemini reset controllerLinus Walleij1-0/+36
2017-06-18Merge tag 'renesas-dt-bindings2-for-v4.13' of https://git.kernel.org/pub/scm/linux/kernel/git/horms/renesas into next/dtOlof Johansson1-0/+51
2017-06-18Merge tag 'v4.12-next-soc' of https://github.com/mbgg/linux-mediatek into next/driversOlof Johansson1-0/+30
2017-06-18Merge tag 'v4.13-rockchip-dts32-1' of git://git.kernel.org/pub/scm/linux/kernel/git/mmind/linux-rockchip into next/dtOlof Johansson2-0/+49
2017-06-16Merge tag 'meson-clk-for-4.13-2' of git://github.com/BayLibre/clk-meson into clk-nextStephen Boyd1-0/+10
2017-06-16Merge branch 'for-4.13-ti-clkctrl' of https://github.com/t-kristo/linux-pm into clk-nextStephen Boyd1-0/+146
2017-06-16Merge tag 'sunxi-clk-for-4.13' of https://git.kernel.org/pub/scm/linux/kernel/git/sunxi/linux into clk-nextStephen Boyd5-0/+273
2017-06-15dt-bindings: clk: add omap4 clkctrl definitionsTero Kristo1-0/+146
2017-06-14Merge branch 'clk-fixes' into clk-nextStephen Boyd2-0/+4
2017-06-14Merge tag 'clk-v4.13-samsung' of git://git.kernel.org/pub/scm/linux/kernel/git/snawrocki/clk into clk-nextStephen Boyd1-0/+3
2017-06-14Merge tag 'v4.13-rockchip-clk1' of git://git.kernel.org/pub/scm/linux/kernel/git/mmind/linux-rockchip into clk-nextStephen Boyd3-0/+331
2017-06-12clk: meson8b: export the ethernet gate clockMartin Blumenstingl1-0/+1
2017-06-12clk: meson8b: export the USB clocksMartin Blumenstingl1-0/+5
2017-06-12clk: meson8b: export the gate clock for the HW random number generatorMartin Blumenstingl1-0/+1
2017-06-12clk: meson8b: export the SDIO clockMartin Blumenstingl1-0/+1
2017-06-12clk: meson8b: export the SAR ADC clocksMartin Blumenstingl1-0/+2
2017-06-09clk: samsung: Add missing exynos5420 audio related clocksSylwester Nawrocki1-0/+3
2017-06-07clk: sunxi-ng: Add driver for A83T CCUChen-Yu Tsai2-0/+238
2017-06-07clk: sunxi-ng: sun5i: Export video PLLsMaxime Ripard1-0/+3
2017-06-07dt-bindings: add binding for the Allwinner DE2 CCUIcenowy Zheng2-0/+32
2017-06-05arm64: dts: Add pinctrl DT nodes for Stingray SOCPramod Kumar1-0/+68
2017-06-05dt-bindings: clk: Extend binding doc for Stingray SOCSandeep Tripathy1-0/+101
2017-06-05ARM: dts: r7s72100: add clock bit definitionsChris Brandt1-0/+51
2017-06-03dt-bindings: document devicetree bindings for mux-controllers and gpio-muxPeter Rosin1-0/+16
2017-06-02Merge tag 'meson-clk-for-4.13' of git://github.com/BayLibre/clk-meson into clk-nextStephen Boyd1-1/+9