aboutsummaryrefslogtreecommitdiffstats
path: root/net (follow)
AgeCommit message (Expand)AuthorFilesLines
2021-02-27Merge tag 'io_uring-worker.v3-2021-02-25' of git://git.kernel.dk/linux-blockLinus Torvalds3-12/+0
2021-02-26Merge tag 'nfs-for-5.12-1' of git://git.linux-nfs.org/projects/anna/linux-nfsLinus Torvalds7-77/+43
2021-02-25Merge tag 'net-5.12-rc1' of git://git.kernel.org/pub/scm/linux/kernel/git/netdev/netLinus Torvalds17-98/+161
2021-02-25net: psample: Fix netlink skb length with tunnel infoChris Mi1-2/+2
2021-02-25net: hsr: add support for EntryForgetTimeMarco Wenzel3-2/+9
2021-02-24net: introduce CAN specific pointer in the struct net_deviceOleksij Rempel4-61/+27
2021-02-23net: remove cmsg restriction from io_uring based send/recvmsg callsJens Axboe3-12/+0
2021-02-23net: qrtr: Fix memory leak in qrtr_tun_openTakeshi Misawa1-1/+11
2021-02-23Merge tag 'idmapped-mounts-v5.12' of git://git.kernel.org/pub/scm/linux/kernel/git/brauner/linuxLinus Torvalds2-4/+7
2021-02-23net/sched: cls_flower: validate ct_state for invalid and reply flagswenxu1-0/+15
2021-02-23net: icmp: pass zeroed opts from icmp{,v6}_ndo_send before sendingJason A. Donenfeld3-16/+19
2021-02-22mptcp: do not wakeup listener for MPJ subflowsPaolo Abeni1-0/+6
2021-02-22mptcp: provide subflow aware release functionFlorian Westphal1-2/+53
2021-02-22mptcp: fix DATA_FIN generation on early shutdownPaolo Abeni1-9/+14
2021-02-22mptcp: fix DATA_FIN processing for orphaned socketsPaolo Abeni1-5/+4
2021-02-22net: dsa: Fix dependencies with HSRFlorian Fainelli1-0/+1
2021-02-22Merge tag 'nfsd-5.12-1' of git://git.kernel.org/pub/scm/linux/kernel/git/cel/linuxLinus Torvalds2-21/+20
2021-02-22Merge tag 'ceph-for-5.12-rc1' of git://github.com/ceph/ceph-clientLinus Torvalds1-12/+5
2021-02-21Merge tag 'nfsd-5.12' of git://git.kernel.org/pub/scm/linux/kernel/git/cel/linuxLinus Torvalds5-121/+175
2021-02-20Merge tag 'tty-5.12-rc1' of git://git.kernel.org/pub/scm/linux/kernel/git/gregkh/ttyLinus Torvalds1-1/+2
2021-02-17Merge git://git.kernel.org/pub/scm/linux/kernel/git/pablo/nf-nextDavid S. Miller1-82/+163
2021-02-16Merge git://git.kernel.org/pub/scm/linux/kernel/git/netdev/netDavid S. Miller10-81/+171
2021-02-16net: dsa: tag_rtl4_a: Support also egress tagsLinus Walleij1-14/+29
2021-02-16net: sched: fix police ext initializationVlad Buslov2-1/+2
2021-02-16net: dsa: felix: Add support for MRPHoratiu Vultur1-0/+8
2021-02-16net: dsa: add MRP supportHoratiu Vultur4-0/+201
2021-02-16bridge: mrp: Update br_mrp to use new return values of br_mrp_switchdevHoratiu Vultur1-16/+27
2021-02-16bridge: mrp: Extend br_mrp_switchdev to detect better the errorsHoratiu Vultur2-77/+118
2021-02-16bridge: mrp: Add 'enum br_mrp_hw_support'Horatiu Vultur1-0/+14
2021-02-16Merge git://git.kernel.org/pub/scm/linux/kernel/git/bpf/bpf-nextDavid S. Miller14-88/+340
2021-02-16SUNRPC: Further clean up svc_tcp_sendmsg()Chuck Lever1-8/+7
2021-02-16SUNRPC: Remove redundant socket flags from svc_tcp_sendmsg()Trond Myklebust1-9/+3
2021-02-16SUNRPC: Use TCP_CORK to optimise send performance on the serverTrond Myklebust1-1/+7
2021-02-16libceph: remove osdtimeout option entirelyIlya Dryomov1-6/+0
2021-02-16libceph: deprecate [no]cephx_require_signatures optionsIlya Dryomov1-6/+5
2021-02-15mptcp: add local addr info in mptcp_infoGeliang Tang3-1/+5
2021-02-15net: caif: Use netif_rx_any_context().Sebastian Andrzej Siewior1-4/+1
2021-02-15tcp: tcp_data_ready() must look at SOCK_DONEEric Dumazet1-1/+1
2021-02-15net: bridge: fix br_vlan_filter_toggle stub when CONFIG_BRIDGE_VLAN_FILTERING=nVladimir Oltean1-1/+2
2021-02-15net: mscc: ocelot: avoid type promotion when calling ocelot_ifh_set_destVladimir Oltean1-2/+2
2021-02-15netfilter: nftables: introduce table ownershipPablo Neira Ayuso1-46/+117
2021-02-15netfilter: nftables: add helper function to release hooks of one single tablePablo Neira Ayuso1-5/+10
2021-02-15netfilter: nftables: add helper function to release one tablePablo Neira Ayuso1-35/+40
2021-02-15svcrdma: Hold private mutex while invoking rdma_accept()Chuck Lever1-3/+3
2021-02-14net: dsa: propagate extack to .port_vlan_filteringVladimir Oltean4-10/+20
2021-02-14net: dsa: propagate extack to .port_vlan_addVladimir Oltean4-10/+26
2021-02-14net: bridge: propagate extack through switchdev_port_attr_setVladimir Oltean8-24/+33
2021-02-14net: bridge: propagate extack through store_bridge_parmVladimir Oltean4-46/+142
2021-02-14net: bridge: remove __br_vlan_filter_toggleVladimir Oltean3-10/+4
2021-02-14net: dsa: tag_ocelot_8021q: add support for PTP timestampingVladimir Oltean1-0/+33