aboutsummaryrefslogtreecommitdiffstats
path: root/include/linux/cpuidle_haltpoll.h
blob: d50c1e0411a2dda2376ff01e34f01075ebe7a245 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
/* SPDX-License-Identifier: GPL-2.0 */
#ifndef _CPUIDLE_HALTPOLL_H
#define _CPUIDLE_HALTPOLL_H

#ifdef CONFIG_ARCH_CPUIDLE_HALTPOLL
#include <asm/cpuidle_haltpoll.h>
#else
static inline void arch_haltpoll_enable(unsigned int cpu)
{
}

static inline void arch_haltpoll_disable(unsigned int cpu)
{
}
#endif
#endif