aboutsummaryrefslogtreecommitdiffstats
path: root/fpga/usrp3/tools
diff options
context:
space:
mode:
authorWade Fife <wade.fife@ettus.com>2024-03-11 08:44:58 -0500
committerWade Fife <wade.fife@ettus.com>2024-03-15 20:08:15 -0500
commit58fb72a82da8bb638dbdfe44d3be84e8382c10a2 (patch)
tree60f958ad19b30223ab6e3021c22a4a8b2bfcdebf /fpga/usrp3/tools
parentrfnoc: image builder: Add make jobs option (diff)
downloaduhd-58fb72a82da8bb638dbdfe44d3be84e8382c10a2.tar.xz
uhd-58fb72a82da8bb638dbdfe44d3be84e8382c10a2.zip
fpga: Add option to specify build output directory
Diffstat (limited to 'fpga/usrp3/tools')
-rw-r--r--fpga/usrp3/tools/make/viv_design_builder.mak2
-rw-r--r--fpga/usrp3/tools/make/viv_preamble.mak6
2 files changed, 5 insertions, 3 deletions
diff --git a/fpga/usrp3/tools/make/viv_design_builder.mak b/fpga/usrp3/tools/make/viv_design_builder.mak
index cd2f0e332..f10268124 100644
--- a/fpga/usrp3/tools/make/viv_design_builder.mak
+++ b/fpga/usrp3/tools/make/viv_design_builder.mak
@@ -30,7 +30,7 @@ BUILD_VIVADO_DESIGN = \
export VIV_VERILOG_DEFS="$(VERILOG_DEFS) UHD_FPGA_DIR=$(BASE_DIR)/../.."; \
export VIV_INCR_BUILD=$(INCR_BUILD); \
cd $(BUILD_DIR); \
- $(TOOLS_DIR)/scripts/launch_vivado.py --parse-config $(BUILD_DIR)/../dev_config.json -mode $(VIVADO_MODE) -source $(call RESOLVE_PATH,$(1)) -log build.log -journal $(2).jou
+ $(TOOLS_DIR)/scripts/launch_vivado.py --parse-config $(MAKEFILE_DIR)/dev_config.json -mode $(VIVADO_MODE) -source $(call RESOLVE_PATH,$(1)) -log build.log -journal $(2).jou
# -------------------------------------------------------------------
diff --git a/fpga/usrp3/tools/make/viv_preamble.mak b/fpga/usrp3/tools/make/viv_preamble.mak
index ea2bd92fd..8db631b64 100644
--- a/fpga/usrp3/tools/make/viv_preamble.mak
+++ b/fpga/usrp3/tools/make/viv_preamble.mak
@@ -27,7 +27,10 @@ SIMLIB_DIR = $(abspath $(BASE_DIR)/../sim)
LIB_IP_DIR = $(abspath $(LIB_DIR)/ip)
HLS_IP_DIR = $(abspath $(LIB_DIR)/hls)
-BUILD_BASE_DIR ?= .
+MAKEFILE_DIR = $(abspath .)
+
+IP_BUILD_DIR ?= $(abspath ./build-ip/$(subst /,,$(PART_ID)))
+BUILD_BASE_DIR ?= $(abspath .)
ifdef NAME
BUILD_DIR = $(abspath $(BUILD_BASE_DIR)/build-$(NAME))
@@ -35,7 +38,6 @@ else
BUILD_DIR = $(abspath $(BUILD_BASE_DIR)/build)
endif
-IP_BUILD_DIR = $(abspath ./build-ip/$(subst /,,$(PART_ID)))
# -------------------------------------------------------------------
# Git Hash Retrieval