// Copyright 2014 Ettus Research // Copyright 2018 Ettus Research, a National Instruments Company // // SPDX-License-Identifier: LGPL-3.0-or-later module axi_pipe #(parameter STAGES=3) (input clk, input reset, input clear, input i_tlast, input i_tvalid, output i_tready, output o_tlast, output o_tvalid, input o_tready, output [STAGES-1:0] enables, output reg [STAGES-1:0] valids); assign o_tvalid = valids[STAGES-1]; assign i_tready = enables[0]; // ////////////////////////////// // Valids genvar i; generate for(i=1; i