aboutsummaryrefslogtreecommitdiffstats
path: root/drivers/staging/brcm80211/phy/wlc_phytbl_lcn.c
diff options
context:
space:
mode:
Diffstat (limited to 'drivers/staging/brcm80211/phy/wlc_phytbl_lcn.c')
-rw-r--r--drivers/staging/brcm80211/phy/wlc_phytbl_lcn.c3
1 files changed, 3 insertions, 0 deletions
diff --git a/drivers/staging/brcm80211/phy/wlc_phytbl_lcn.c b/drivers/staging/brcm80211/phy/wlc_phytbl_lcn.c
index 6ce9e5d96830..330b88152b65 100644
--- a/drivers/staging/brcm80211/phy/wlc_phytbl_lcn.c
+++ b/drivers/staging/brcm80211/phy/wlc_phytbl_lcn.c
@@ -15,6 +15,9 @@
*/
#include <linux/types.h>
+#include <sbhndpio.h>
+#include <sbhnddma.h>
+#include <osl.h>
#include <wlc_phy_int.h>
#include <wlc_phytbl_lcn.h>