aboutsummaryrefslogtreecommitdiffstats
path: root/drivers/clk/clk.c (follow)
AgeCommit message (Expand)AuthorFilesLines
2019-05-07Merge branch 'clk-parent-rewrite-1' into clk-nextStephen Boyd1-80/+273
2019-05-07Merge branch 'clk-ti' into clk-nextStephen Boyd1-1/+0
2019-05-07Merge branches 'clk-doc', 'clk-more-critical', 'clk-meson' and 'clk-basic-be' into clk-nextStephen Boyd1-20/+26
2019-05-03clk: Cache core in clk_fetch_parent_index() without namesStephen Boyd1-10/+27
2019-04-26clk: Remove CLK_IS_BASIC clk flagStephen Boyd1-1/+0
2019-04-19clk: Allow parents to be specified via clkspec indexStephen Boyd1-7/+11
2019-04-19clk: Look for parents with clkdev based clk_lookupsStephen Boyd1-9/+18
2019-04-19clk: Allow parents to be specified without string namesStephen Boyd1-62/+200
2019-04-19clk: Add of_clk_hw_register() API for early clk driversStephen Boyd1-3/+23
2019-04-19clk: Prepare for clk registration API that uses DT nodesStephen Boyd1-13/+18
2019-03-08clk: fixup default index for of_clk_get_by_name()Kuninori Morimoto1-1/+1
2019-03-08Merge branch 'clk-parent-rewrite' (early part) into clk-nextStephen Boyd1-56/+203
2019-03-08Merge branches 'clk-optional', 'clk-devm-clkdev-register', 'clk-allwinner', 'clk-meson' and 'clk-renesas' into clk-nextStephen Boyd1-0/+3
2019-03-01clk: Move of_clk_*() APIs into clk.c from clkdev.cStephen Boyd1-3/+54
2019-03-01clk: Inform the core about consumer devicesStephen Boyd1-2/+5
2019-03-01clk: Introduce of_clk_get_hw_from_clkspec()Stephen Boyd1-5/+41
2019-03-01clk: core: clarify the check for runtime PMMiquel Raynal1-6/+8
2019-03-01clk: Combine __clk_get() and __clk_create_clk()Stephen Boyd1-46/+94
2019-02-02clk: export some clk_hw function symbols for module driversJerome Brunet1-0/+3
2019-02-01clk: Document and simplify clk_core_get_rate_nolock()Stephen Boyd1-15/+10
2019-01-24clk: Document __clk_mux_determine_rate()Stephen Boyd1-0/+6
2019-01-24clk: Document deprecated thingsStephen Boyd1-5/+10
2019-01-24clk: Remove global clk traversal on fetch parent indexDerek Basehore1-2/+12
2019-01-09clk: sysfs: fix invalid JSON in clk_dumpLubomir Rintel1-1/+1
2018-12-14Merge branches 'clk-managed-registration', 'clk-spdx', 'clk-remove-basic' and 'clk-ops-const' into clk-nextStephen Boyd1-6/+41
2018-12-11clk: Tag clk core files with SPDXStephen Boyd1-4/+1
2018-12-05clk: of-provider: look at parent if registered device has no provider infoMatti Vaittinen1-4/+27
2018-12-04clk: Add kerneldoc to managed of-provider interfacesMatti Vaittinen1-0/+15
2018-10-11clk: Clean up suspend/resume coding styleStephen Boyd1-20/+22
2018-10-03clk: clk: Add clk_gate_restore_context functionKeerthy1-0/+19
2018-10-03clk: Add functions to save/restore clock context en-masseRuss Dill1-0/+74
2018-08-15Merge tag 'clk-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/clk/linuxLinus Torvalds1-3/+16
2018-08-14Merge branches 'clk-qcom-rpmh', 'clk-qcom-spdx', 'clk-con-id-leak', 'clk-fixed-factor-populated' and 'clk-mvebu-periph-parent' into clk-nextStephen Boyd1-0/+3
2018-08-14Merge branches 'clk-qcom-set-rate-gate', 'clk-core-set-rate-gate', 'clk-core-duty-cycle', 'clk-si-prepare' and 'clk-imx-gpio-gates' into clk-nextStephen Boyd1-8/+207
2018-08-09Merge branch 'asoc-4.19' into asoc-nextMark Brown1-5/+194
2018-07-25clk: core: Potentially free connection idMikko Perttunen1-0/+3
2018-07-06clk: Really show symbolic clock flags in debugfsGeert Uytterhoeven1-2/+1
2018-06-19clk: add duty cycle supportJerome Brunet1-5/+194
2018-06-19clk: fix CLK_SET_RATE_GATE with clock rate protectionJerome Brunet1-3/+13
2018-06-15docs: Fix some broken referencesMauro Carvalho Chehab1-2/+2
2018-06-09Merge tag 'clk-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/clk/linuxLinus Torvalds1-126/+45
2018-06-04Merge branches 'clk-match-string', 'clk-ingenic', 'clk-si544-round-fix' and 'clk-bcm-stingray' into clk-nextStephen Boyd1-6/+2
2018-06-04Merge branches 'clk-imx7d', 'clk-hisi-stub', 'clk-mvebu', 'clk-imx6-epit' and 'clk-debugfs-simple' into clk-nextStephen Boyd1-112/+30
2018-06-04Merge branches 'clk-warn', 'clk-core', 'clk-spear' and 'clk-qcom-msm8998' into clk-nextStephen Boyd1-83/+50
2018-06-01clk: use match_string() helperYisheng Xie1-6/+2
2018-06-01clk: remove clk_debugfs_add_file()Greg Kroah-Hartman1-13/+0
2018-06-01clk: no need to check return value of debugfs_create functionsGreg Kroah-Hartman1-99/+30
2018-04-19clk: Remove clk_init_cb typedefGeert Uytterhoeven1-1/+1
2018-04-16clk: honor CLK_MUX_ROUND_CLOSEST in generic clk muxJerome Brunet1-3/+4
2018-04-06Merge branches 'clk-mvebu', 'clk-phase', 'clk-nxp', 'clk-mtk2712' and 'clk-qcom-rpmcc' into clk-nextStephen Boyd1-1/+7