aboutsummaryrefslogtreecommitdiffstats
path: root/drivers/clk/clk.c (follow)
AgeCommit message (Expand)AuthorFilesLines
2021-02-24Merge tag 'driver-core-5.12-rc1' of git://git.kernel.org/pub/scm/linux/kernel/git/gregkh/driver-coreLinus Torvalds1-0/+3
2021-02-09clk: Mark fwnodes when their clock provider is added/removedSaravana Kannan1-0/+3
2021-01-27PM: clk: make PM clock layer compatible with clocks that must sleepNicolas Pitre1-0/+21
2020-12-20Merge branches 'clk-ti', 'clk-analog', 'clk-trace', 'clk-at91' and 'clk-silabs' into clk-nextStephen Boyd1-0/+6
2020-12-20Merge branches 'clk-tegra', 'clk-imx', 'clk-sifive', 'clk-mediatek' and 'clk-summary' into clk-nextStephen Boyd1-4/+11
2020-12-20Merge branches 'clk-doc', 'clk-qcom', 'clk-simplify', 'clk-hw', 'clk-renesas' and 'clk-samsung' into clk-nextStephen Boyd1-7/+104
2020-12-17clk: Trace clk_set_rate() "range" functionsMaxime Ripard1-0/+6
2020-12-17clk: Add hardware-enable column to clk summaryDmitry Osipenko1-4/+11
2020-11-14clk: add devm variant of clk_notifier_registerJerome Brunet1-0/+36
2020-11-14clk: add api to get clk consumer from clk_hwJerome Brunet1-0/+61
2020-11-14clk: avoid devm_clk_release name clashJerome Brunet1-6/+6
2020-11-14clk: remove unneeded dead-store initializationLukas Bulwahn1-1/+1
2020-10-25treewide: Convert macro and uses of __section(foo) to __section("foo")Joe Perches1-1/+1
2020-08-03Merge branches 'clk-microchip', 'clk-mmp', 'clk-unused' and 'clk-at91' into clk-nextStephen Boyd1-6/+0
2020-08-03Merge branches 'clk-actions', 'clk-rockchip', 'clk-iproc', 'clk-intel' and 'clk-debugfs' into clk-nextStephen Boyd1-0/+29
2020-08-03clk: drop unused function __clk_get_flagsJulia Lawall1-6/+0
2020-07-24clk: Clean up kernel-doc errorsStephen Boyd1-0/+3
2020-07-24clk: Add support for enabling/disabling clocks from debugfsMike Tipton1-0/+29
2020-06-22clk: add function documentation for clk_hw_round_rate()Sarang Mairal1-0/+15
2020-06-10Merge tag 'clk-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/clk/linuxLinus Torvalds1-4/+0
2020-05-26PM: runtime: clk: Fix clk_pm_runtime_get() error pathRafael J. Wysocki1-1/+5
2020-05-05clk: Remove unused inline function clk_debug_reparentYueHaibing1-4/+0
2020-05-05clk: Unlink clock if failed to prepare or enableMarc Zyngier1-0/+3
2020-04-05Merge tag 'clk-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/clk/linuxLinus Torvalds1-52/+75
2020-04-03Merge branches 'clk-ti', 'clk-ingenic', 'clk-typo', 'clk-at91', 'clk-mmp2' and 'clk-arm-icst' into clk-nextStephen Boyd1-1/+1
2020-04-03Merge branches 'clk-phase-errors', 'clk-amlogic', 'clk-renesas' and 'clk-allwinner' into clk-nextStephen Boyd1-49/+72
2020-03-20clk: Fix trivia typo in comment exlusive => exclusiveAndy Shevchenko1-1/+1
2020-02-28clk: Fix phase init checkMaxime Ripard1-2/+4
2020-02-12clk: Fix continuation of of_clk_detect_critical()Geert Uytterhoeven1-2/+2
2020-02-12clk: Bail out when calculating phase fails during clk registrationStephen Boyd1-1/+6
2020-02-12clk: Move rate and accuracy recalc to mostly consumer APIsStephen Boyd1-24/+24
2020-02-12clk: Use 'parent' to shorten lines in __clk_core_init()Stephen Boyd1-11/+11
2020-02-12clk: Don't cache errors from clk_ops::get_phase()Stephen Boyd1-16/+32
2020-02-12of: clk: Make of_clk_get_parent_{count,name}() parameter constGeert Uytterhoeven1-2/+2
2020-02-04Merge branch 'akpm' (patches from Andrew)Linus Torvalds1-1/+1
2020-02-04treewide: remove redundant IS_ERR() before error code checkMasahiro Yamada1-1/+1
2020-01-31Merge branches 'clk-debugfs-danger', 'clk-basic-hw', 'clk-renesas', 'clk-amlogic' and 'clk-allwinner' into clk-nextStephen Boyd1-1/+37
2020-01-31Merge branches 'clk-uniphier', 'clk-warn-critical', 'clk-ux500', 'clk-kconfig' and 'clk-at91' into clk-nextStephen Boyd1-24/+53
2020-01-31Merge branches 'clk-init-allocation', 'clk-unused' and 'clk-register-dt-node-better' into clk-nextStephen Boyd1-2/+25
2020-01-05clk: Add support for setting clk_rate via debugfsGeert Uytterhoeven1-1/+37
2020-01-04clk: Warn about critical clks that fail to enableStephen Boyd1-1/+6
2020-01-04clk: Use parent node pointer during registration if necessaryStephen Boyd1-2/+25
2019-12-26clk: Don't try to enable critical clocks if prepare failedGuenter Roeck1-2/+8
2019-12-23clk: add terminate callback to clk_opsJerome Brunet1-1/+6
2019-12-23clk: let init callback return an error codeJerome Brunet1-6/+11
2019-12-23clk: actually call the clock init before any other callback of the clockJerome Brunet1-11/+15
2019-12-18clk: Move clk_core_reparent_orphans() under CONFIG_OFOlof Johansson1-7/+7
2019-12-12clk: walk orphan list on clock provider registrationJerome Brunet1-22/+40
2019-11-27Merge branches 'clk-ingenic', 'clk-init-leak', 'clk-ux500' and 'clk-bitmain' into clk-nextStephen Boyd1-4/+5
2019-11-19clk: mark clk_disable_unused() as __initRasmus Villemoes1-4/+4