aboutsummaryrefslogtreecommitdiffstats
path: root/drivers/clk/clk.c (follow)
AgeCommit message (Expand)AuthorFilesLines
2019-09-20Merge tag 'clk-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/clk/linuxLinus Torvalds1-37/+132
2019-09-19Merge branches 'clk-cdce-regulator', 'clk-bcm', 'clk-evict-parent-cache' and 'clk-actions' into clk-nextStephen Boyd1-6/+36
2019-09-19Merge branches 'clk-init-destroy', 'clk-doc', 'clk-imx' and 'clk-allwinner' into clk-nextStephen Boyd1-15/+54
2019-09-19Merge branches 'clk-qcom', 'clk-mtk', 'clk-armada', 'clk-ingenic' and 'clk-meson' into clk-nextStephen Boyd1-0/+6
2019-09-19clk: Drop !clk checks in debugfs dumpingStephen Boyd1-12/+0
2019-09-17clk: Evict unregistered clks from parent cachesStephen Boyd1-6/+36
2019-09-16Merge tag 'armsoc-dt' of git://git.kernel.org/pub/scm/linux/kernel/git/soc/socLinus Torvalds1-0/+6
2019-09-05clk: Document of_parse_clkspec() some moreStephen Boyd1-6/+37
2019-08-17clk: Remove extraneous 'for' word in commentsRishi Gupta1-1/+1
2019-08-16clk: Fix potential NULL dereference in clk_fetch_parent_index()Martin Blumenstingl1-1/+2
2019-08-16clk: Fix falling back to legacy parent string matchingStephen Boyd1-12/+34
2019-08-16clk: Overwrite clk_hw::init with NULL during clk_register()Stephen Boyd1-8/+16
2019-08-09clk: core: introduce clk_hw_set_parent()Neil Armstrong1-0/+6
2019-08-08clk: Use seq_puts() in possible_parent_show()Markus Elfring1-5/+5
2019-08-08clk: Assert prepare_lock in clk_core_get_boundariesLeonard Crestez1-0/+2
2019-08-08clk: Add clk_min/max_rate entries in debugfsLeonard Crestez1-0/+36
2019-07-17Merge tag 'clk-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/clk/linuxLinus Torvalds1-8/+55
2019-07-12Merge branches 'clk-rpi-cpufreq', 'clk-tegra', 'clk-simplify-provider.h', 'clk-sprd' and 'clk-at91' into clk-nextStephen Boyd1-0/+1
2019-07-12Merge branches 'clk-debugfs', 'clk-unused', 'clk-refactor' and 'clk-qoriq' into clk-nextStephen Boyd1-4/+16
2019-07-12Merge branches 'clk-bulk-optional', 'clk-kirkwood', 'clk-socfpga' and 'clk-docs' into clk-nextStephen Boyd1-2/+2
2019-06-25clk: Add clk_parent entry in debugfsLeonard Crestez1-0/+15
2019-06-25clk: Grammar missing "and", Spelling s/statisfied/satisfied/Geert Uytterhoeven1-2/+2
2019-06-25clk: Simplify clk_core_can_round()Geert Uytterhoeven1-4/+1
2019-06-25clk: Simplify debugfs printing and add a newlineStephen Boyd1-19/+15
2019-06-18clk: Fix debugfs clk_possible_parents for clks without parent string namesChen-Yu Tsai1-3/+41
2019-06-17clk: Do a DT parent lookup even when index < 0Stephen Boyd1-1/+1
2019-05-23clk: Unexport __clk_of_tableStephen Boyd1-0/+1
2019-05-07Merge branch 'clk-parent-rewrite-1' into clk-nextStephen Boyd1-80/+273
2019-05-07Merge branch 'clk-ti' into clk-nextStephen Boyd1-1/+0
2019-05-07Merge branches 'clk-doc', 'clk-more-critical', 'clk-meson' and 'clk-basic-be' into clk-nextStephen Boyd1-20/+26
2019-05-03clk: Cache core in clk_fetch_parent_index() without namesStephen Boyd1-10/+27
2019-04-26clk: Remove CLK_IS_BASIC clk flagStephen Boyd1-1/+0
2019-04-19clk: Allow parents to be specified via clkspec indexStephen Boyd1-7/+11
2019-04-19clk: Look for parents with clkdev based clk_lookupsStephen Boyd1-9/+18
2019-04-19clk: Allow parents to be specified without string namesStephen Boyd1-62/+200
2019-04-19clk: Add of_clk_hw_register() API for early clk driversStephen Boyd1-3/+23
2019-04-19clk: Prepare for clk registration API that uses DT nodesStephen Boyd1-13/+18
2019-03-08clk: fixup default index for of_clk_get_by_name()Kuninori Morimoto1-1/+1
2019-03-08Merge branch 'clk-parent-rewrite' (early part) into clk-nextStephen Boyd1-56/+203
2019-03-08Merge branches 'clk-optional', 'clk-devm-clkdev-register', 'clk-allwinner', 'clk-meson' and 'clk-renesas' into clk-nextStephen Boyd1-0/+3
2019-03-01clk: Move of_clk_*() APIs into clk.c from clkdev.cStephen Boyd1-3/+54
2019-03-01clk: Inform the core about consumer devicesStephen Boyd1-2/+5
2019-03-01clk: Introduce of_clk_get_hw_from_clkspec()Stephen Boyd1-5/+41
2019-03-01clk: core: clarify the check for runtime PMMiquel Raynal1-6/+8
2019-03-01clk: Combine __clk_get() and __clk_create_clk()Stephen Boyd1-46/+94
2019-02-02clk: export some clk_hw function symbols for module driversJerome Brunet1-0/+3
2019-02-01clk: Document and simplify clk_core_get_rate_nolock()Stephen Boyd1-15/+10
2019-01-24clk: Document __clk_mux_determine_rate()Stephen Boyd1-0/+6
2019-01-24clk: Document deprecated thingsStephen Boyd1-5/+10
2019-01-24clk: Remove global clk traversal on fetch parent indexDerek Basehore1-2/+12