aboutsummaryrefslogtreecommitdiffstats
path: root/drivers/clk/clk.c (follow)
AgeCommit message (Expand)AuthorFilesLines
2015-09-16clk: check for invalid parent index of orphans in __clk_init()Mans Rullgard1-1/+2
2015-08-24clk: remove duplicated code with __clk_set_parent_afterDong Aisheng1-7/+1
2015-08-24clk: Constify clk_hw argument to provider APIsStephen Boyd1-7/+8
2015-08-24clk: Remove unused provider APIsStephen Boyd1-76/+16
2015-08-24clk: Add clk_hw_*() APIs for use by clk providersStephen Boyd1-0/+61
2015-08-12clk: track the orphan status of clocks and their childrenHeiko Stuebner1-3/+30
2015-07-28clk: Silence warnings about lock imbalancesStephen Boyd1-1/+6
2015-07-28Merge branch 'cleanup-clk-h-includes' into clk-nextStephen Boyd1-0/+1
2015-07-28clk: Allow providers to configure min/max ratesStephen Boyd1-2/+14
2015-07-28Merge branch 'clk-determine-rate-struct' into clk-nextStephen Boyd1-80/+99
2015-07-27clk: fix some determine_rate implementationsBoris Brezillon1-0/+3
2015-07-27clk: change clk_ops' ->determine_rate() prototypeBoris Brezillon1-80/+96
2015-07-20clk: Include clk.h in clk.cStephen Boyd1-0/+1
2015-07-07clk: Move clk_provider_list to scope of function using itStephen Boyd1-2/+1
2015-07-07clk: Check for allocation errors in of_clk_init()Stephen Boyd1-2/+11
2015-06-20Merge tag 'tegra-for-4.2-clk' of git://git.kernel.org/pub/scm/linux/kernel/git/tegra/linux into clk-nextMichael Turquette1-0/+8
2015-06-20Merge branch 'clk-exynos-cpu-clk' into clk-nextMichael Turquette1-0/+3
2015-06-20clk: add CLK_RECALC_NEW_RATES clock flag for Exynos cpu clock supportBartlomiej Zolnierkiewicz1-0/+3
2015-06-10clk: Move debug_node field under DEBUG_FS flag in struct clk_coreMaxime Coquelin1-1/+1
2015-06-05clk: of: helper for filling parent clock array and return num of parentsDinh Nguyen1-0/+21
2015-05-20clk: Fix typo in clk_register() commentShailendra Verma1-1/+1
2015-05-14clk: Silence sparse warnings about __clk_{get,put}()Stephen Boyd1-0/+1
2015-05-13clk: Expose clk_hw_reparent() to providersTomeu Vizoso1-0/+8
2015-05-07clk: Add some more lockdep assertionsStephen Boyd1-0/+8
2015-05-07Merge branch 'clk-fixes' into clk-nextStephen Boyd1-0/+8
2015-05-07clk: add newline character after dumping all clocksFelipe Balbi1-1/+1
2015-05-06clk: add missing lock when call clk_core_enable in clk_set_parentDong Aisheng1-0/+8
2015-05-01clk: Fix JSON output in debugfsStefan Wahren1-2/+3
2015-04-30clk: Update some comments to reflect realityStephen Boyd1-33/+18
2015-04-30clk: Remove forward declared function prototypesStephen Boyd1-418/+393
2015-04-30clk: Remove impossible if condition in clk_core_get_phase()Stephen Boyd1-5/+1
2015-04-30clk: Drop unnecessary OOM printsStephen Boyd1-5/+0
2015-04-30clk: Squash __clk_{enable,disable}() into callersDong Aisheng1-18/+5
2015-04-30clk: s/clk/core/ for struct clk_coreStephen Boyd1-412/+412
2015-04-12clk: check ->determine/round_rate() return value in clk_calc_new_ratesBoris Brezillon1-7/+16
2015-03-12Merge branch 'clk-fixes' into clk-nextStephen Boyd1-1/+26
2015-03-12clk: Replace of_clk_get_by_clkspec() with of_clk_get_from_provider()Stephen Boyd1-18/+14
2015-03-12clk: Rename child_node to clks_node to avoid confusionStephen Boyd1-6/+6
2015-03-12clk: clk_set_parent() with current parent shouldn't failStephen Boyd1-4/+6
2015-03-12clk: Add tracepoints for hardware operationsStephen Boyd1-10/+46
2015-03-12clk: Missing set_phase op is an errorStephen Boyd1-8/+4
2015-03-12clk: Use lockdep asserts to find missing hold of prepare_lockKrzysztof Kozlowski1-0/+14
2015-03-11clk: introduce clk_is_matchMichael Turquette1-0/+26
2015-03-11clk: don't export static symbolJulia Lawall1-1/+0
2015-02-21Merge tag 'clk-for-linus-3.20' of git://git.linaro.org/people/mike.turquette/linuxLinus Torvalds1-304/+705
2015-02-19clk: Only recalculate the rate if neededTomeu Vizoso1-1/+4
2015-02-18clk: Don't dereference parent clock if is NULLJavier Martinez Canillas1-2/+2
2015-02-13clk: convert clock name allocations to kstrdup_constAndrzej Hajda1-6/+6
2015-02-06clkdev: Always allocate a struct clk and call __clk_get() w/ CCFStephen Boyd1-4/+14
2015-02-02clk: Add rate constraints to clocksTomeu Vizoso1-54/+218