aboutsummaryrefslogtreecommitdiffstats
path: root/drivers/gpu/drm/i915/gt/intel_lrc.c (follow)
AgeCommit message (Expand)AuthorFilesLines
2020-09-07drm/i915: Make sure execbuffer always passes ww state to i915_vma_pin.Maarten Lankhorst1-2/+3
2020-09-07drm/i915: Rework intel_context pinning to do everything outside of pin_mutexMaarten Lankhorst1-12/+22
2020-09-07drm/i915/gt: Distinguish the virtual breadcrumbs from the irq breadcrumbsChris Wilson1-3/+8
2020-09-07drm/i915/gt: Only transfer the virtual context to the new engine if activeChris Wilson1-25/+40
2020-09-07drm/i915/gt: Replace intel_engine_transfer_stale_breadcrumbsChris Wilson1-15/+0
2020-09-07drm/i915: Remove requirement for holding i915_request.lock for breadcrumbsChris Wilson1-14/+0
2020-09-07drm/i915/gt: Support multiple pinned timelinesChris Wilson1-7/+13
2020-09-07drm/i915/gt: Disable preparser around xcs invalidations on tglChris Wilson1-2/+13
2020-08-28Merge tag 'drm-intel-next-2020-08-24-1' of git://anongit.freedesktop.org/drm/drm-intel into drm-nextDave Airlie1-1/+1
2020-08-17drm/i915/kbl: Fix revision ID checksMatt Roper1-1/+1
2020-08-05Merge tag 'drm-next-2020-08-06' of git://anongit.freedesktop.org/drm/drmLinus Torvalds1-47/+83
2020-08-04Merge tag 'uninit-macro-v5.9-rc1' of git://git.kernel.org/pub/scm/linux/kernel/git/kees/linuxLinus Torvalds1-1/+1
2020-07-16treewide: Remove uninitialized_var() usageKees Cook1-1/+1
2020-07-14drm/i915/gt: Only swap to a random sibling once upon creationChris Wilson1-14/+4
2020-07-14drm/i915/gt: Ignore irq enabling on the virtual enginesChris Wilson1-0/+1
2020-07-13drm/i915/gt: Only swap to a random sibling once upon creationChris Wilson1-14/+4
2020-07-13drm/i915/gt: Ignore irq enabling on the virtual enginesChris Wilson1-0/+1
2020-07-13drm/i915/gt: Always reset the engine, even if inactive, on execlists failureChris Wilson1-9/+6
2020-07-10drm/i915/gt: Be defensive in the face of false CS eventsChris Wilson1-6/+39
2020-07-08drm/i915: Release shortlived maps of longlived objectsChris Wilson1-1/+1
2020-07-08drm/i915/gt: Replace opencoded i915_gem_object_pin_map()Chris Wilson1-6/+5
2020-07-08drm/i915/sseu: Move sseu_info under gt_infoVenkata Sandeep Dhanalakota1-1/+1
2020-06-16drm/i915/gt: Incrementally check for rewindingChris Wilson1-1/+20
2020-06-16drm/i915/gt: Prevent timeslicing into unpreemptable requestsChris Wilson1-0/+1
2020-06-15drm/i915/gt: Incorporate the virtual engine into timeslicingChris Wilson1-6/+24
2020-06-13drm/i915/execlists: Lift opportunistic process_csb to before engine lockChris Wilson1-7/+14
2020-06-10drm/i915/gt: Incrementally check for rewindingChris Wilson1-1/+20
2020-06-08drm/i915: Adjust the sentinel assert to match implementationTvrtko Ursulin1-11/+3
2020-06-05drm/i915/gt: Always check to enable timeslicing if not submittingChris Wilson1-3/+2
2020-06-05drm/i915/gt: Set timeslicing priority from queueChris Wilson1-1/+1
2020-06-04drm/i915/gt: Track if an engine requires forcewake w/aChris Wilson1-0/+4
2020-06-03drm/i915: Drop i915_request.i915 backpointerChris Wilson1-3/+3
2020-05-28drm/i915/gt: Start timeslice on partial submissionChris Wilson1-1/+3
2020-05-28drm/i915/gt: Prevent timeslicing into unpreemptable requestsChris Wilson1-0/+1
2020-05-26drm/i915/execlists: Shortcircuit queue_prio() for no internal levelsChris Wilson1-0/+3
2020-05-19drm/i915/gt: Incorporate the virtual engine into timeslicingChris Wilson1-6/+24
2020-05-19drm/i915/gt: Kick virtual siblings on timeslice outChris Wilson1-1/+1
2020-05-18drm/i915/gt: Reuse the tasklet priority for virtual as their siblingsChris Wilson1-2/+2
2020-05-14drm/i915/gt: Transfer old virtual breadcrumbs to irq_workerChris Wilson1-24/+10
2020-05-14drm/i915: Drop no-semaphore boostingChris Wilson1-9/+0
2020-05-13drm/i915: Mark the addition of the initial-breadcrumb in the requestChris Wilson1-1/+4
2020-05-13drm/i915/gt: Reset execlists registers before HWSPChris Wilson1-7/+14
2020-05-11drm/i915/gt: Restore Cherryview back to full-ppgttChris Wilson1-0/+54
2020-05-11drm/i915/gt: Mark up the racy read of execlists->context_tagChris Wilson1-1/+1
2020-05-09drm/i915: Replace zero-length array with flexible-arrayGustavo A. R. Silva1-1/+1
2020-05-08drm/i915/gt: Improve precision on defer_request assertChris Wilson1-1/+2
2020-05-07drm/i915/gen12: Add aux table invalidate for all enginesMika Kuoppala1-5/+81
2020-05-07drm/i915: Remove wait priority boostingChris Wilson1-3/+1
2020-05-07drm/i915: Mark concurrent submissions with a weak-dependencyChris Wilson1-0/+3
2020-05-07drm/i915/gen12: Invalidate aux table entries forciblyMika Kuoppala1-1/+15