aboutsummaryrefslogtreecommitdiffstats
path: root/drivers/staging/greybus/uart.c (follow)
AgeCommit message (Expand)AuthorFilesLines
2019-08-27staging: greybus: move core include files to include/linux/greybus/Greg Kroah-Hartman1-1/+1
2018-11-09staging: greybus: remove space before tabIoannis Valasakis1-2/+2
2018-09-14greybus/uart: switch to ->[sg]et_serial()Al Viro1-29/+18
2017-11-11staging: greybus: Remove redundant license textGreg Kroah-Hartman1-2/+0
2017-11-11staging: greybus: add SPDX identifiers to all greybus driver filesGreg Kroah-Hartman1-0/+1
2017-04-18staging: greybus: uart.c: Remove include linux/serial.hDarryl T. Agostinelli1-1/+0
2017-03-07staging: greybus: Replace "is is" with "is"simran singhal1-1/+1
2017-03-02sched/headers: Prepare to move signal wakeup & sigpending methods from <linux/sched.h> into <linux/sched/signal.h>Ingo Molnar1-1/+1
2017-02-12staging: greybus: fix "line over 80 characters" coding style issuesGioh Kim1-3/+5
2017-01-27staging: greybus: uart: fix TIOCGSERIAL flagsJohan Hovold1-1/+0
2016-11-10staging: greybus: use get_icount tty operationJohan Hovold1-19/+12
2016-11-10staging: greybus: uart: fix invalid user-pointer checkJohan Hovold1-3/+0
2016-10-30Merge 4.9-rc3 into staging-nextGreg Kroah-Hartman1-1/+1
2016-10-17Staging: greybus: uart: constify tty_port_operations structureBhumika Goyal1-1/+1
2016-10-17Staging: greybus: uart: Use gbphy_dev->dev instead of bundle->devViresh Kumar1-1/+1
2016-09-22staging: greybus: uart.c: fix alignment to match open parenthesisChaehyun Lim1-14/+14
2016-09-22staging: greybus: uart.c: change 'unsigned' to 'unsigned int'Chaehyun Lim1-1/+1
2016-07-14greybus: uart: Add runtime pm supportAxel Haslam1-0/+19
2016-06-29greybus: Revert "greybus: uart: don't use spin_lock_irq()"Johan Hovold1-3/+2
2016-06-23greybus: uart: don't use spin_lock_irq()Viresh Kumar1-2/+3
2016-06-23greybus: Revert "greybus: don't use spin_lock_irq()"Greg Kroah-Hartman1-3/+2
2016-06-23greybus: don't use spin_lock_irq()Viresh Kumar1-2/+3
2016-06-10greybus: Remove extra blank linesViresh Kumar1-3/+0
2016-06-10greybus: uart: Fix minor number leakBryan O'Donoghue1-0/+1
2016-05-31greybus: gbphy: Remove protocol specific version handlingViresh Kumar1-4/+0
2016-05-31greybus: uart: wait for credits on shutdownAxel Haslam1-0/+31
2016-05-31greybus: uart: Implement flush_bufferAxel Haslam1-0/+15
2016-05-31greybus: uart: Add credits based tracking for transmit pathAxel Haslam1-1/+65
2016-05-31greybus: uart: Use a fifo to send data to the modulesAxel Haslam1-18/+105
2016-05-31greybus: uart: Implement dtr_rts callback.Axel Haslam1-2/+20
2016-05-31greybus: uart: Handle CRTSCTS flag in termiosAxel Haslam1-2/+8
2016-05-19greybus: gpbridge: rename 'gpbridge' to 'gbphy' everywhereSandeep Patil1-24/+24
2016-05-18greybus: uart fix missing negation on DTR settingAxel Haslam1-1/+1
2016-05-14greybus: uart: Create separate moduleViresh Kumar1-2/+7
2016-05-05greybus: uart: Kill reference_count hackViresh Kumar1-25/+26
2016-05-05greybus: UART: convert to a gpbridge driverGreg Kroah-Hartman1-41/+73
2016-04-21greybus: uart: Update line coding settings only when neededAxel Haslam1-1/+1
2016-03-22greybus: convert drivers to use connection->private set/getGreg Kroah-Hartman1-6/+6
2016-03-03greybus: gpbridge.h: move protocol init/exit prototypesGreg Kroah-Hartman1-0/+1
2016-02-24greybus: uart: fix incomplete receive-data sanity checksJohan Hovold1-9/+24
2016-02-24greybus: uart: add missing serial-state sanity checkJohan Hovold1-4/+22
2016-02-24greybus: uart: add max-payload sanity checkJohan Hovold1-2/+8
2016-02-23greybus: uart: properly calculate max buffer sizeGreg Kroah-Hartman1-6/+3
2016-02-05greybus: uart: fix double free of tty portRui Miguel Silva1-1/+0
2015-12-04greybus: Prefix hexadecimal values with 0x while printing themViresh Kumar1-1/+1
2015-10-15greybus: uart: use the bundle struct device instead of the connectorGreg Kroah-Hartman1-5/+5
2015-08-11greybus: uart: Drop get_version supportViresh Kumar1-13/+3
2015-08-10greybus: uart: Use (already defined) major/minor macrosViresh Kumar1-2/+2
2015-07-14greybus: uart: fix typo in defintionBryan O'Donoghue1-1/+1
2015-07-08greybus: properly cleanup ida and idr structures when shutting downGreg Kroah-Hartman1-0/+1