aboutsummaryrefslogtreecommitdiffstats
path: root/drivers/cpuidle/dt_idle_states.h
blob: 14ae88cef1deaff4b32fe0158e8e467cc32fa664 (plain) (blame)
1
2
3
4
5
6
7
8
/* SPDX-License-Identifier: GPL-2.0 */
#ifndef __DT_IDLE_STATES
#define __DT_IDLE_STATES

int dt_init_idle_driver(struct cpuidle_driver *drv,
			const struct of_device_id *matches,
			unsigned int start_idx);
#endif