aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorWade Fife <wade.fife@ettus.com>2020-08-18 10:01:47 -0500
committerWade Fife <wade.fife@ettus.com>2020-08-19 12:28:22 -0500
commitc9d55d870dbccbc07ca9a7afaf2e0ac64944111c (patch)
tree8c8896493fadc3354e7ab956ee876ff1bb7f8ae7
parentfpga: lib: Add ctrlport_to_regport bridge (diff)
downloaduhd-c9d55d870dbccbc07ca9a7afaf2e0ac64944111c.tar.xz
uhd-c9d55d870dbccbc07ca9a7afaf2e0ac64944111c.zip
fpga: lib: Add more CtrlPort constants
Add some missing CtrlPort signal widths to ctrlport.vh.
-rw-r--r--fpga/usrp3/lib/rfnoc/core/ctrlport.vh19
1 files changed, 12 insertions, 7 deletions
diff --git a/fpga/usrp3/lib/rfnoc/core/ctrlport.vh b/fpga/usrp3/lib/rfnoc/core/ctrlport.vh
index 7b5f9fcaa..814cfae26 100644
--- a/fpga/usrp3/lib/rfnoc/core/ctrlport.vh
+++ b/fpga/usrp3/lib/rfnoc/core/ctrlport.vh
@@ -1,21 +1,26 @@
//
-// Copyright 2019 Ettus Research, A National Instruments Company
+// Copyright 2020 Ettus Research, A National Instruments Brand
//
// SPDX-License-Identifier: LGPL-3.0-or-later
//
// Module: ctrlport.vh
+//
// Description:
-// Defines constants for the control port interface.
//
-// Requires rfnoc_axis_ctrl_utils.vh in same directory to be
-// included first.
+// Defines constants for the control port interface. See also
+// rfnoc_axis_ctrl_utils.vh for related AXIS-Ctrl definitions.
+//
//---------------------------------------------------------------
// Signal widths
//---------------------------------------------------------------
-localparam CTRLPORT_ADDR_W = 20;
-localparam CTRLPORT_DATA_W = 32;
-localparam CTRLPORT_STS_W = 2;
+localparam CTRLPORT_ADDR_W = 20;
+localparam CTRLPORT_DATA_W = 32;
+localparam CTRLPORT_STS_W = 2;
+localparam CTRLPORT_PORTID_W = 10;
+localparam CTRLPORT_REM_EPID_W = 16;
+localparam CTRLPORT_BYTE_EN_W = 4;
+localparam CTRLPORT_TIME_W = 64;
//---------------------------------------------------------------
// Status values