summaryrefslogtreecommitdiffstats
path: root/host/cmake/debian/copyright
blob: 071f6337f9cdd2fee69d82c81551710d0d207416 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
Format: http://dep.debian.net/deps/dep5/
Upstream-Name: UHD
Upstream-Contact: http://www.ettus.com/contact
Source: https://github.com/EttusResearch/uhd
X-Note: The upstream package source tarball was generated from the tag:
 git archive --format=tar --prefix=uhd-3.7.3/ release_003_007_003 | xz > ../uhd_3.7.3.orig.tar.gz
Comment: Only the host directory of the distributed source is used to build the uhd-host package for Debian main.
Copyright: Copyright 2010-2018 Ettus Research, A National Instruments Company
License: GPL-3+

Files: *
Copyright: Copyright 2010-2018 Ettus Research, A National Instruments Company
License: GPL-3+

Files:  images/*
        firmware/fx2/b100/CMakeLists.txt
        firmware/fx2/CMakeLists.txt
        firmware/fx2/config/CMakeASM_SDCCInformation.cmake
        firmware/fx2/config/Rename.cmake
        firmware/fx2/config/Toolchain-sdcc.cmake
        firmware/fx2/usrp1/CMakeLists.txt
        firmware/fx3/*
        firmware/octoclock/*
        firmware/x300/*
        firmware/README.txt
Copyright: Copyright 2010-2018 Ettus Research, A National Instruments Company
License: GPL-3+
Comment: Not used for uhd-host package

Files: debian/*
Copyright: © 2011-2012 A. Maitland Bottoms <bottoms@debian.org>
License: GPL-3+

Files: host/cmake/Modules/FindGit.cmake
Copyright: Copyright 2010 Kitware, Inc.
License: Kitware-BSD

Files: host/cmake/Modules/FindUSB1.cmake
Copyright: Copyright (c) 2006, 2008  Laurent Montel, <montel@kde.org>
License: Kitware-BSD

Files: firmware/fx2/*
Copyright: 2003,2004,2006,2007 Free Software Foundation, Inc.
License: GPL-3+

Files:  firmware/fx2/config/CMakeTestASM_SDCCCompiler.cmake
        firmware/fx2/config/CMakeDetermineASM_SDCCCompiler.cmake
Copyright: 2008-2009 Kitware, Inc.
License: Kitware-BSD
Comment: Not used for uhd-host package

Files: firmware/zpu/apps* firmware/zpu/bin/* firmware/zpu/lib/*
 firmware/zpu/lwip/*.h firmware/zpu/usrp2/*
Copyright: Copyright 2007-2009 Free Software Foundation, Inc.
License: GPL-3+
Comment: Not used for uhd-host package

Files: firmware/zpu/lwip/lwip-1.3.1
Copyright: (c) 2001-2004 Swedish Institute of Computer Science.
License: lwip-BSD
Comment: liIP Author Adam Dunkels <adam@sics.se>
 http://savannah.nongnu.org/projects/lwip/
 Also includes other BSD licensed code from additional copyright
 holders outlined in detail below...

Files:  firmware/zpu/lwip/lwip-1.3.1/src/core/dns.c
        firmware/zpu/lwip/lwip-1.3.1/src/include/lwip/dns.h
Copyright: (c) 2002-2003, Adam Dunkels.
License: lwip-BSD
Comment: Not used for uhd-host package
 * Port to lwIP from uIP
 * by Jim Pettinato April 2007
 * ported from uIP resolv.c

Files:  firmware/zpu/lwip/lwip-1.3.1/src/core/ipv4/autoip.c
        firmware/zpu/lwip/lwip-1.3.1/src/include/ipv4/lwip/autoip.h
Copyright: (c) 2007 Dominik Spies <kontakt@dspies.de>
 * All rights reserved.
License: lwip-BSD
Comment: Not used for uhd-host package

Files:  firmware/zpu/lwip/lwip-1.3.1/src/core/dhcp.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/etharp.c
        firmware/zpu/lwip/lwip-1.3.1/src/include/netif/etharp.h
        firmware/zpu/lwip/lwip-1.3.1/src/include/lwip/snmp.h
Copyright: (c) 2001-2004 Leon Woestenberg <leon.woestenberg@gmx.net>
License: lwip-BSD
Comment: Not used for uhd-host package

Files:  firmware/zpu/lwip/lwip-1.3.1/src/include/netif/etharp.h
        firmware/zpu/lwip/lwip-1.3.1/src/include/lwip/snmp.h
        firmware/zpu/lwip/lwip-1.3.1/src/include/lwip/snmp_asn1.h
        firmware/zpu/lwip/lwip-1.3.1/src/include/lwip/snmp_msg.h
        firmware/zpu/lwip/lwip-1.3.1/src/include/lwip/snmp_structs.h
        firmware/zpu/lwip/lwip-1.3.1/src/core/dhcp.c
        firmware/zpu/lwip/lwip-1.3.1/src/core/snmp/mib2.c
        firmware/zpu/lwip/lwip-1.3.1/src/core/snmp/msg_out.c
        firmware/zpu/lwip/lwip-1.3.1/src/core/snmp/msg_in.c
        firmware/zpu/lwip/lwip-1.3.1/src/core/snmp/asn1_dec.c
        firmware/zpu/lwip/lwip-1.3.1/src/core/snmp/asn1_enc.c
        firmware/zpu/lwip/lwip-1.3.1/src/core/snmp/mib_structs.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/etharp.c
Copyright: (c) 2001-2004,2006 Axon Digital Design B.V., The Netherlands.
License: lwip-BSD
Comment:    Not used for uhd-host package
            Christiaan Simons <christiaan.simons@axon.tv>

Files: firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/pppdebug.h:
Copyright: (c) 2003 by Marc Boucher, Services Informatiques (MBSI) inc.
 portions Copyright (c) 1998 Global Election Systems Inc.
 portions Copyright (c) 2001 by Cognizant Pty Ltd.
License: MBSI-BSD
Comment: Not used for uhd-host package

Files:  firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/randm.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/randm.h
Copyright: (c) 2003 by Marc Boucher, Services Informatiques (MBSI) inc.
 Copyright (c) 1998 Global Election Systems Inc.
License: MBSI-BSD
Comment: Not used for uhd-host package
 * 03-01-01 Marc Boucher <marc@mbsi.ca>
 *   Ported to lwIP.
 * 98-06-03 Guy Lancaster <lancasterg@acm.org>, Global Election Systems Inc.
 *   Extracted from avos.

Files:  firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/chpms.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/chpms.h
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/chap.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/chap.h
Copyright: (c) 2003 by Marc Boucher, Services Informatiques (MBSI) inc.
 portions Copyright (c) 1998 Global Election Systems Inc.
License: MBSI-BSD
Comment: Not used for uhd-host package

Files:  firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/auth.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/auth.h
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/magic.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/magic.h
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/fsm.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/fsm.h
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/ppp.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/ppp.h
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/pap.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/pap.h
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/lcp.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/lcp.h
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/ipcp.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/ipcp.h
Copyright: (c) 2003 by Marc Boucher, Services Informatiques (MBSI) inc.
  portions Copyright (c) 1997,1998 by Global Election Systems Inc.
License: MBSI-BSD
Comment: Not used for uhd-host package

Files:  firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/chpms.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/chpms.h
Copyright: (c) 1995 Eric Rosenquist, Strata Software Limited.
 * All rights reserved.
License: Rosenquist
Comment:    Not used for uhd-host package
            http://www.strataware.com/

Files:  firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/chap.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/chap.h
Copyright: (c) 1991 Gregory M. Christy
 * All rights reserved.
License: Christy

Files:  firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/ppp.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/ppp.h
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/auth.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/chap.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/chap.h
Copyright: (c) 1993,1994 The Australian National University.
 * All rights reserved.
License: Australian-National-University
Comment: Not used for uhd-host package

Files:  firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/ppp.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/ppp.h
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/auth.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/auth.h
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/pap.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/pap.h
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/lcp.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/lcp.h
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/ipcp.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/ipcp.h
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/fsm.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/fsm.h
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/magic.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/magic.h
Copyright: (c) 1989 Carnegie Mellon University.
 * All rights reserved.
License: Carnegie-Mellon-University
Comment: Not used for uhd-host package

Files:  firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/ppp_oe.c
        firmware/zpu/lwip/lwip-1.3.1/src/include/netif/ppp_oe.h
Copyright: (c) 2006 by Marc Boucher, Services Informatiques (MBSI) inc.
License: MBSI-BSD
Comment: Not used for uhd-host package

Files:  firmware/zpu/lwip/lwip-1.3.1/src/core/ipv4/igmp.c
        firmware/zpu/lwip/lwip-1.3.1/src/include/ipv4/lwip/igmp.h
Copyright: (c) 2002 CITEL Technologies Ltd.
 * All rights reserved.
License: CITEL-BSD
Comment: Not used for uhd-host package

Files:  firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/ppp_oe.c
        firmware/zpu/lwip/lwip-1.3.1/src/include/netif/ppp_oe.h
Copyright: (c) 2002 The NetBSD Foundation, Inc.
License: NetBSD
Comment: Not used for uhd-host package
 /* based on NetBSD: if_pppoe.c,v 1.64 2006/01/31 23:50:15 martin Exp */
 * This code is derived from software contributed to The NetBSD Foundation
 * by Martin Husemann <martin@NetBSD.org>.

Files:  firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/vj.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/vj.h
Copyright: (c) 1989 Regents of the University of California.
 * All rights reserved.
License: VJ-BSD
Comment: Not used for uhd-host package
 * Van Jacobson (van@helios.ee.lbl.gov), Dec 31, 1989:
 *   Initial distribution.
 *
 * Modified June 1993 by Paul Mackerras, paulus@cs.anu.edu.au,
 * so that the entire packet being decompressed doesn't have
 * to be in contiguous memory (just the compressed header).
 *
 * Modified March 1998 by Guy Lancaster, glanca@gesn.com,
 * for a 16 bit processor.

Files:  firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/md5.c
        firmware/zpu/lwip/lwip-1.3.1/src/netif/ppp/md5.h
Copyright: (C) 1990, RSA Data Security, Inc. All rights reserved.
License: RSA-BSD
Comment: Not used for uhd-host package                                   **

Files: fpga-src/*
Copyright: 2008-2018 Ettus Research, A National Instruments Company
License: GPL-3+
Comment: Not used for uhd-host package

Files: fpga-src/usrp2/opencores/spi_boot/COPYING
 fpga-src/usrp1/rbf/rev2/Makefile.am
 fpga-src/usrp1/rbf/Makefile.am
 fpga-src/usrp1/rbf/rev4/Makefile.am
 fpga-src/usrp1/Makefile.am
 fpga-src/usrp1/gen_makefile_extra.py
 fpga-src/usrp1/toplevel/mrfm/mrfm_fft.py
 fpga-src/usrp1/toplevel/mrfm/mrfm.py
 fpga-src/usrp1/toplevel/usrp_inband_usb/usrp_inband_usb.v
Copyright: Copyright (C) 1989,1991,2004-2007,2009 Free Software Foundation, Inc.
License: GPL-3+
Comment: Not used for uhd-host package  

Files: fpga-src/usrp1/sdr_lib/master_control.v fpga-src/usrp1/sdr_lib/atr_delay.v
Copyright: (C) 2007 Corgan Enterprises LLC
License: GPL-2+
Comment: Not used for uhd-host package

Files: fpga-src/usrp1/sdr_lib/master_control_multi.v
 fpga-src/usrp1/toplevel/include/common_config_2rx_0tx.vh
 fpga-src/usrp1/toplevel/include/common_config_2rxhb_0tx.vh
 fpga-src/usrp1/toplevel/usrp_multi/config.vh
 fpga-src/usrp1/toplevel/usrp_multi/usrp_multi.v
Copyright: (C) 2006 Martin Dudok van Heel
License: GPL-2+
Comment: Not used for uhd-host package

Files: fpga-src/usrp2/sdr_lib/round_reg.v
 fpga-src/usrp2/sdr_lib/ddc.v
 fpga-src/usrp2/sdr_lib/cordic_z24.v
 fpga-src/usrp2/sdr_lib/duc.v
 fpga-src/usrp2/sdr_lib/cordic_stage.v
 fpga-src/usrp2/sdr_lib/cic_interp.v
 fpga-src/usrp2/sdr_lib/clip.v
 fpga-src/usrp2/sdr_lib/clip_reg.v
 fpga-src/usrp2/sdr_lib/round.v
 fpga-src/usrp2/sdr_lib/cordic.v
 fpga-src/usrp2/sdr_lib/cic_dec_shifter.v
 fpga-src/usrp2/sdr_lib/hb/halfband_decim.v
 fpga-src/usrp2/sdr_lib/clip_and_round.v
 fpga-src/usrp2/sdr_lib/round_tb.v
 fpga-src/usrp2/sdr_lib/cic_decim.v
 fpga-src/usrp2/sdr_lib/cic_int_shifter.v
 fpga-src/usrp2/sdr_lib/clip_and_round_reg.v
 fpga-src/usrp2/sdr_lib/cic_strober.v
 fpga-src/usrp2/sdr_lib/sign_extend.v
 fpga-src/usrp1/sdr_lib/ddc.v
 fpga-src/usrp1/sdr_lib/master_control.v
 fpga-src/usrp1/sdr_lib/clk_divider.v
 fpga-src/usrp1/sdr_lib/tx_chain_hb.v
 fpga-src/usrp1/sdr_lib/duc.v
 fpga-src/usrp1/sdr_lib/tx_buffer.v
 fpga-src/usrp1/sdr_lib/cordic_stage.v
 fpga-src/usrp1/sdr_lib/cic_interp.v
 fpga-src/usrp1/sdr_lib/rx_chain_dual.v
 fpga-src/usrp1/sdr_lib/cordic.v
 fpga-src/usrp1/sdr_lib/rx_chain.v
 fpga-src/usrp1/sdr_lib/cic_dec_shifter.v
 fpga-src/usrp1/sdr_lib/hb/halfband_decim.v
 fpga-src/usrp1/sdr_lib/dpram.v
 fpga-src/usrp1/sdr_lib/ext_fifo.v
 fpga-src/usrp1/sdr_lib/cic_decim.v
 fpga-src/usrp1/sdr_lib/rx_buffer.v
 fpga-src/usrp1/sdr_lib/strobe_gen.v
 fpga-src/usrp1/sdr_lib/serial_io.v
 fpga-src/usrp1/sdr_lib/cic_int_shifter.v
 fpga-src/usrp1/sdr_lib/gen_sync.v
 fpga-src/usrp1/sdr_lib/tx_chain.v
 fpga-src/usrp1/sdr_lib/sign_extend.v
 fpga-src/usrp1/sdr_lib/io_pins.v
 fpga-src/usrp1/sdr_lib/phase_acc.v
 fpga-src/usrp1/tb/interp_tb.v
 fpga-src/usrp1/tb/decim_tb.v
 fpga-src/usrp1/tb/justinterp_tb.v
 fpga-src/usrp1/tb/usrp_tasks.v
 fpga-src/usrp1/tb/cordic_tb.v
 fpga-src/usrp1/tb/fullchip_tb.v
 fpga-src/usrp1/models/pll.v
 fpga-src/usrp1/toplevel/usrp_std/usrp_std.v
 fpga-src/usrp1/toplevel/usrp_std/config.vh
 fpga-src/usrp1/toplevel/include/common_config_bottom.vh
 fpga-src/usrp1/toplevel/include/common_config_2rx_0tx.vh
 fpga-src/usrp1/toplevel/include/common_config_2rxhb_0tx.vh
 fpga-src/usrp1/toplevel/include/common_config_4rx_0tx.vh
 fpga-src/usrp1/toplevel/include/common_config_1rxhb_1tx.vh
 fpga-src/usrp1/toplevel/include/common_config_2rxhb_2tx.vh
 fpga-src/usrp1/toplevel/mrfm/shifter.v
 fpga-src/usrp1/toplevel/mrfm/mrfm.v
 fpga-src/usrp1/toplevel/sizetest/sizetest.v
 fpga-src/usrp1/toplevel/usrp_inband_usb/usrp_inband_usb.v
 fpga-src/usrp1/toplevel/usrp_inband_usb/config.vh
 fpga-src/usrp1/toplevel/usrp_multi/config.vh
 fpga-src/usrp1/toplevel/usrp_multi/usrp_multi.v
Copyright: (C) 2003-2008 Matt Ettus
License: GPL-3+
Comment: Not used for uhd-host package

Files:  fpga-src/usrp2/sdr_lib/integrate.v
 fpga-src/usrp2/sdr_lib/hb_interp.v
 fpga-src/usrp2/sdr_lib/Makefile.srcs
 fpga-src/usrp2/sdr_lib/small_hb_int_tb.v
 fpga-src/usrp2/sdr_lib/dspengine_8to16.v
 fpga-src/usrp2/sdr_lib/pipectrl.v
 fpga-src/usrp2/sdr_lib/add2.v
 fpga-src/usrp2/sdr_lib/add2_and_round.v
 fpga-src/usrp2/sdr_lib/hb_dec.v
 fpga-src/usrp2/sdr_lib/med_hb_int.v
 fpga-src/usrp2/sdr_lib/small_hb_dec_tb.v
 fpga-src/usrp2/sdr_lib/halfband_tb.v
 fpga-src/usrp2/sdr_lib/tx_control.v
 fpga-src/usrp2/sdr_lib/small_hb_dec.v
 fpga-src/usrp2/sdr_lib/dsp_rx_glue.v
 fpga-src/usrp2/sdr_lib/hb_dec_tb.v
 fpga-src/usrp2/sdr_lib/rx_dcoffset_tb.v
 fpga-src/usrp2/sdr_lib/rssi.v
 fpga-src/usrp2/sdr_lib/hb/ram16_2sum.v
 fpga-src/usrp2/sdr_lib/hb/ram16_2port.v
 fpga-src/usrp2/sdr_lib/hb/ram32_2sum.v
 fpga-src/usrp2/sdr_lib/hb/halfband_interp.v
 fpga-src/usrp2/sdr_lib/hb/hbd_tb/test_hbd.v
 fpga-src/usrp2/sdr_lib/hb/mac.v
 fpga-src/usrp2/sdr_lib/hb/acc.v
 fpga-src/usrp2/sdr_lib/hb/mult.v
 fpga-src/usrp2/sdr_lib/hb/coeff_ram.v
 fpga-src/usrp2/sdr_lib/hb/coeff_rom.v
 fpga-src/usrp2/sdr_lib/duc_chain.v
 fpga-src/usrp2/sdr_lib/acc.v
 fpga-src/usrp2/sdr_lib/dspengine_16to8.v
 fpga-src/usrp2/sdr_lib/hb_interp_tb.v
 fpga-src/usrp2/sdr_lib/hb_tb.v
 fpga-src/usrp2/sdr_lib/rx_dcoffset.v
 fpga-src/usrp2/sdr_lib/dummy_rx.v
 fpga-src/usrp2/sdr_lib/halfband_ideal.v
 fpga-src/usrp2/sdr_lib/dsp_tx_glue.v
 fpga-src/usrp2/sdr_lib/pipestage.v
 fpga-src/usrp2/sdr_lib/ddc_chain.v
 fpga-src/usrp2/sdr_lib/add2_reg.v
 fpga-src/usrp2/sdr_lib/small_hb_int.v
 fpga-src/usrp2/sdr_lib/add2_and_round_reg.v
 fpga-src/usrp2/sdr_lib/rx_control.v
 fpga-src/usrp2/serdes/Makefile.srcs
 fpga-src/usrp2/serdes/serdes.v
 fpga-src/usrp2/serdes/serdes_rx.v
 fpga-src/usrp2/serdes/serdes_tx.v
 fpga-src/usrp2/serdes/serdes_fc_tx.v
 fpga-src/usrp2/serdes/serdes_fc_rx.v
 fpga-src/usrp2/serdes/serdes_tb.v
 fpga-src/usrp2/fifo/dsp_framer36.v
 fpga-src/usrp2/fifo/Makefile.srcs
 fpga-src/usrp2/fifo/fifo36_to_fifo19.v
 fpga-src/usrp2/fifo/fifo19_mux.v
 fpga-src/usrp2/fifo/packet_tb.v
 fpga-src/usrp2/fifo/buffer_int2.v
 fpga-src/usrp2/fifo/fifo19_to_ll8.v
 fpga-src/usrp2/fifo/packet_verifier.v
 fpga-src/usrp2/fifo/buffer_int.v
 fpga-src/usrp2/fifo/fifo_2clock.v
 fpga-src/usrp2/fifo/fifo19_pad.v
 fpga-src/usrp2/fifo/packet_generator32.v
 fpga-src/usrp2/fifo/fifo36_to_ll8.v
 fpga-src/usrp2/fifo/packet_verifier32.v
 fpga-src/usrp2/fifo/fifo_19to36_tb.v
 fpga-src/usrp2/fifo/packet_dispatcher36_x3.v
 fpga-src/usrp2/fifo/buffer_pool_tb.v
 fpga-src/usrp2/fifo/fifo_2clock_cascade.v
 fpga-src/usrp2/fifo/fifo_tb.v
 fpga-src/usrp2/fifo/fifo72_to_fifo36.v
 fpga-src/usrp2/fifo/fifo36_to_fifo72.v
 fpga-src/usrp2/fifo/packet_router.v
 fpga-src/usrp2/fifo/fifo19_to_fifo36.v
 fpga-src/usrp2/fifo/crossbar36.v
 fpga-src/usrp2/fifo/splitter36.v
 fpga-src/usrp2/fifo/fifo_long.v
 fpga-src/usrp2/fifo/buffer_int_tb.v
 fpga-src/usrp2/fifo/packet32_tb.v
 fpga-src/usrp2/fifo/fifo_short.v
 fpga-src/usrp2/fifo/ll8_to_fifo19.v
 fpga-src/usrp2/fifo/ll8_shortfifo.v
 fpga-src/usrp2/fifo/packet_generator.v
 fpga-src/usrp2/fifo/fifo_pacer.v
 fpga-src/usrp2/fifo/fifo36_mux.v
 fpga-src/usrp2/fifo/ll8_to_fifo36.v
 fpga-src/usrp2/fifo/fifo36_demux.v
 fpga-src/usrp2/fifo/buffer_pool.v
 fpga-src/usrp2/fifo/fifo_cascade.v
 fpga-src/usrp2/fifo/add_routing_header.v
 fpga-src/usrp2/fifo/valve36.v
 fpga-src/usrp2/control_lib/Makefile.srcs
 fpga-src/usrp2/control_lib/bin2gray.v
 fpga-src/usrp2/control_lib/mux_32_4.v
 fpga-src/usrp2/control_lib/mux8.v
 fpga-src/usrp2/control_lib/srl.v
 fpga-src/usrp2/control_lib/settings_bus_16LE.v
 fpga-src/usrp2/control_lib/reset_sync.v
 fpga-src/usrp2/control_lib/ram_harv_cache.v
 fpga-src/usrp2/control_lib/quad_uart.v
 fpga-src/usrp2/control_lib/gray2bin.v
 fpga-src/usrp2/control_lib/user_settings.v
 fpga-src/usrp2/control_lib/wb_output_pins32.v
 fpga-src/usrp2/control_lib/double_buffer.v
 fpga-src/usrp2/control_lib/shortfifo.v
 fpga-src/usrp2/control_lib/oneshot_2clk.v
 fpga-src/usrp2/control_lib/ram_harvard.v
 fpga-src/usrp2/control_lib/settings_bus.v
 fpga-src/usrp2/control_lib/sd_spi_wb.v
 fpga-src/usrp2/control_lib/wb_bridge_16_32.v
 fpga-src/usrp2/control_lib/clock_control.v
 fpga-src/usrp2/control_lib/longfifo.v
 fpga-src/usrp2/control_lib/dbsm.v
 fpga-src/usrp2/control_lib/simple_uart.v
 fpga-src/usrp2/control_lib/bootram.v
 fpga-src/usrp2/control_lib/sd_spi_tb.v
 fpga-src/usrp2/control_lib/system_control.v
 fpga-src/usrp2/control_lib/wb_semaphore.v
 fpga-src/usrp2/control_lib/dpram32.v
 fpga-src/usrp2/control_lib/dcache.v
 fpga-src/usrp2/control_lib/clock_bootstrap_rom.v
 fpga-src/usrp2/control_lib/v5icap_wb.v
 fpga-src/usrp2/control_lib/s3a_icap_wb.v
 fpga-src/usrp2/control_lib/priority_enc.v
 fpga-src/usrp2/control_lib/simple_uart_tx.v
 fpga-src/usrp2/control_lib/wb_regfile_2clock.v
 fpga-src/usrp2/control_lib/gray_send.v
 fpga-src/usrp2/control_lib/decoder_3_8.v
 fpga-src/usrp2/control_lib/wb_ram_dist.v
 fpga-src/usrp2/control_lib/wb_bus_writer.v
 fpga-src/usrp2/control_lib/traffic_cop.v
 fpga-src/usrp2/control_lib/icache.v
 fpga-src/usrp2/control_lib/setting_reg.v
 fpga-src/usrp2/control_lib/wb_sim.v
 fpga-src/usrp2/control_lib/atr_controller16.v
 fpga-src/usrp2/control_lib/ram_2port_mixed_width.v
 fpga-src/usrp2/control_lib/atr_controller.v
 fpga-src/usrp2/control_lib/ram_2port.v
 fpga-src/usrp2/control_lib/ss_rcvr.v
 fpga-src/usrp2/control_lib/wb_readback_mux_16LE.v
 fpga-src/usrp2/control_lib/ram_harvard2.v
 fpga-src/usrp2/control_lib/settings_bus_crossclock.v
 fpga-src/usrp2/control_lib/fifo_to_wb.v
 fpga-src/usrp2/control_lib/mux4.v
 fpga-src/usrp2/control_lib/fifo_to_wb_tb.v
 fpga-src/usrp2/control_lib/double_buffer_tb.v
 fpga-src/usrp2/control_lib/medfifo.v
 fpga-src/usrp2/control_lib/simple_uart_rx.v
 fpga-src/usrp2/control_lib/ram_loader.v
 fpga-src/usrp2/control_lib/system_control_tb.v
 fpga-src/usrp2/control_lib/ram_wb_harvard.v
 fpga-src/usrp2/control_lib/spi.v
 fpga-src/usrp2/control_lib/sd_spi.v
 fpga-src/usrp2/control_lib/clock_control_tb.v
 fpga-src/usrp2/control_lib/wb_ram_block.v
 fpga-src/usrp2/control_lib/gpio_atr.v
 fpga-src/usrp2/control_lib/wb_readback_mux.v
 fpga-src/usrp2/udp/Makefile.srcs
 fpga-src/usrp2/udp/add_onescomp.v
 fpga-src/usrp2/udp/prot_eng_tx_tb.v
 fpga-src/usrp2/udp/udp_wrapper.v
 fpga-src/usrp2/udp/prot_eng_tx.v
 fpga-src/usrp2/udp/fifo19_rxrealign.v
 fpga-src/usrp2/udp/prot_eng_rx.v
 fpga-src/usrp2/opencores/Makefile.srcs
 fpga-src/usrp2/gpif/Makefile.srcs
 fpga-src/usrp2/gpif/packet_reframer.v
 fpga-src/usrp2/gpif/packet_splitter.v
 fpga-src/usrp2/gpif/gpif_wr_tb.v
 fpga-src/usrp2/gpif/slave_fifo.v
 fpga-src/usrp2/gpif/gpif_tb.v
 fpga-src/usrp2/gpif/gpif_wr.v
 fpga-src/usrp2/gpif/gpif.v
 fpga-src/usrp2/gpif/gpif_rd.v
 fpga-src/usrp2/gpif/packet_splitter_tb.v
 fpga-src/usrp2/testbench/single_u2_sim.v
 fpga-src/usrp2/coregen/Makefile.srcs
 fpga-src/usrp2/vrt/Makefile.srcs
 fpga-src/usrp2/vrt/vita_rx_control.v
 fpga-src/usrp2/vrt/gen_context_pkt.v
 fpga-src/usrp2/vrt/vita_rx_tb.v
 fpga-src/usrp2/vrt/vita_tx_chain.v
 fpga-src/usrp2/vrt/trigger_context_pkt.v
 fpga-src/usrp2/vrt/vita_pkt_gen.v
 fpga-src/usrp2/vrt/vita_rx_chain.v
 fpga-src/usrp2/vrt/vita_tx_deframer.v
 fpga-src/usrp2/vrt/vita_tx_engine_glue.v
 fpga-src/usrp2/vrt/vita_rx_framer.v
 fpga-src/usrp2/vrt/vita_tx_control.v
 fpga-src/usrp2/vrt/vita_rx_engine_glue.v
 fpga-src/usrp2/vrt/vita_tx_tb.v
 fpga-src/usrp2/timing/Makefile.srcs
 fpga-src/usrp2/timing/time_compare.v
 fpga-src/usrp2/timing/timer.v
 fpga-src/usrp2/timing/time_transfer_tb.v
 fpga-src/usrp2/timing/time_sender.v
 fpga-src/usrp2/timing/time_64bit.v
 fpga-src/usrp2/timing/time_sync.v
 fpga-src/usrp2/timing/time_receiver.v
 fpga-src/usrp2/timing/simple_timer.v
 fpga-src/usrp2/gpmc/Makefile.srcs
 fpga-src/usrp2/gpmc/cross_clock_reader.v
 fpga-src/usrp2/gpmc/gpmc_wb.v
 fpga-src/usrp2/gpmc/fifo_to_gpmc.v
 fpga-src/usrp2/gpmc/gpmc_to_fifo.v
 fpga-src/usrp2/gpmc/gpmc.v
 fpga-src/usrp2/simple_gemac/eth_tasks_f36.v
 fpga-src/usrp2/simple_gemac/Makefile.srcs
 fpga-src/usrp2/simple_gemac/crc.v
 fpga-src/usrp2/simple_gemac/flow_ctrl_rx.v
 fpga-src/usrp2/simple_gemac/simple_gemac_wrapper_tb.v
 fpga-src/usrp2/simple_gemac/simple_gemac.v
 fpga-src/usrp2/simple_gemac/simple_gemac_tx.v
 fpga-src/usrp2/simple_gemac/simple_gemac_tb.v
 fpga-src/usrp2/simple_gemac/ll8_to_txmac.v
 fpga-src/usrp2/simple_gemac/simple_gemac_wb.v
 fpga-src/usrp2/simple_gemac/simple_gemac_wrapper.v
 fpga-src/usrp2/simple_gemac/rxmac_to_ll8.v
 fpga-src/usrp2/simple_gemac/flow_ctrl_tx.v
 fpga-src/usrp2/simple_gemac/eth_tasks.v
 fpga-src/usrp2/simple_gemac/ethtx_realign.v
 fpga-src/usrp2/simple_gemac/address_filter.v
 fpga-src/usrp2/simple_gemac/simple_gemac_wrapper_f36_tb.v
 fpga-src/usrp2/simple_gemac/simple_gemac_rx.v
 fpga-src/usrp2/simple_gemac/delay_line.v
 fpga-src/usrp2/simple_gemac/address_filter_promisc.v
 fpga-src/usrp2/simple_gemac/ethrx_realign.v
 fpga-src/usrp2/simple_gemac/eth_tasks_f19.v
 fpga-src/usrp2/models/M24LC02B.v
 fpga-src/usrp2/models/CY7C1356C/cy1356.v
 fpga-src/usrp2/models/CY7C1356C/testbench.v
 fpga-src/usrp2/models/cpld_model.v
 fpga-src/usrp2/models/adc_model.v
 fpga-src/usrp2/models/serdes_model.v
 fpga-src/usrp2/models/MULT18X18S.v
 fpga-src/usrp2/models/gpmc_model_async.v
 fpga-src/usrp2/models/xlnx_glbl.v
 fpga-src/usrp2/models/gpmc_model_sync.v
 fpga-src/usrp2/models/miim_model.v
 fpga-src/usrp2/models/uart_rx.v
 fpga-src/usrp2/models/M24LC024B.v
 fpga-src/usrp2/models/math_real.v
 fpga-src/usrp2/custom/power_trig_tb.v
 fpga-src/usrp2/custom/custom_dsp_tx.v
 fpga-src/usrp2/custom/custom_engine_tx.v
 fpga-src/usrp2/custom/custom_engine_rx.v
 fpga-src/usrp2/custom/power_trig.v
 fpga-src/usrp2/custom/custom_dsp_rx.v
 fpga-src/usrp2/extramfifo/Makefile.srcs
 fpga-src/usrp2/extramfifo/nobl_fifo.v
 fpga-src/usrp2/extramfifo/refill_randomizer.v
 fpga-src/usrp2/extramfifo/nobl_if.v
 fpga-src/usrp2/extramfifo/ext_fifo.v
 fpga-src/usrp2/extramfifo/test_sram_if.v
 fpga-src/usrp2/extramfifo/ext_fifo_tb.v
 fpga-src/usrp2/top/python/check_timing.py
 fpga-src/usrp2/top/python/check_inout.py
 fpga-src/usrp2/top/tcl/ise_helper.tcl
 fpga-src/usrp2/top/USRP2/u2_rev3.v
 fpga-src/usrp2/top/USRP2/u2_core.v
 fpga-src/usrp2/top/USRP2/Makefile
 fpga-src/usrp2/top/Makefile.common
 fpga-src/usrp2/top/E1x0/u1e.v
 fpga-src/usrp2/top/E1x0/Makefile.E100
 fpga-src/usrp2/top/E1x0/tb_u1e.v
 fpga-src/usrp2/top/E1x0/Makefile.E110
 fpga-src/usrp2/top/E1x0/u1e_core.v
 fpga-src/usrp2/top/E1x0/Makefile
 fpga-src/usrp2/top/B100/u1plus.v
 fpga-src/usrp2/top/B100/u1plus_core.v
 fpga-src/usrp2/top/B100/B100.v
 fpga-src/usrp2/top/B100/Makefile.B100
 fpga-src/usrp2/top/B100/Makefile
 fpga-src/usrp2/top/N2x0/Makefile.N210R3
 fpga-src/usrp2/top/N2x0/u2plus.v
 fpga-src/usrp2/top/N2x0/u2plus_core.v
 fpga-src/usrp2/top/N2x0/capture_ddrlvds.v
 fpga-src/usrp2/top/N2x0/Makefile.N200R4
 fpga-src/usrp2/top/N2x0/Makefile.N200R3
 fpga-src/usrp2/top/N2x0/Makefile.N210R4
 fpga-src/usrp2/top/N2x0/Makefile
Copyright: 2008-2018 Ettus Research, A National Instruments Company
License: GPL-3+
Comment: Not used for uhd-host package

Files: fpga-src/usrp1/megacells/*
 fpga-src/usrp1/toplevel/*.qsf fpga-src/usrp1/toplevel/*.qpf
Copyright: (C) 1991-2004 Altera Corporation
License: Altera-boilerplate
Comment: Boilerplate terms prepended to files which include design
 parameters for the project licensed GPL-3+.
 .
 Not used for uhd-host package

Files: fpga-src/usrp2/coregen/fifo*
 fpga-src/usrp2/models/FIFO_GENERATOR_V4_3.v
 fpga-src/usrp2/models/FIFO_GENERATOR_V4_3.v
 fpga-src/usrp2/models/BUFG.v
 fpga-src/usrp2/models/IOBUF.v
 fpga-src/usrp2/models/RAMB16_S36_S36.v
 fpga-src/usrp2/models/RAMB16_S36_S36.v
 fpga-src/usrp2/models/SRLC16E.v
 fpga-src/usrp2/models/SRL16E.v
 fpga-src/usrp2/models/FIFO_GENERATOR_V6_1.v
 fpga-src/usrp2/extramfifo/icon.v
 fpga-src/usrp2/extramfifo/ila.v
Copyright: (c) 1995-2010 Xilinx, Inc.
License: Xilinx-boilerplate
Comment: Boilerplate terms prepended to files which include design
 parameters for the project licensed GPL-3+.
 .
 Not used for uhd-host package.

Files: fpga-src/usrp2/opencores/8b10b
Copyright: (c)2002 Chuck Benz, Hollis, NH   
License: Benz
Comment: Not used for uhd-host package

Files: fpga-src/usrp2/opencores/aemb
Copyright: (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
License: AEMB-LGPL-3+

Files: fpga-src/usrp2/opencores/i2c/*
 fpga-src/usrp2/opencores/simple_gpio/*
 fpga-src/usrp2/opencores/simple_pic/*
Copyright: (C) 2000,2001,2002,2004 Richard Herveille
License: Herveille
Comment: Not used for uhd-host package                              

Files: fpga-src/usrp2/opencores/spi
Copyright: (C) 2002 Simon Srot (simons@opencores.org)
License: Srot-LGPL-2.1+
Comment: Not used for uhd-host package

Files: fpga-src/usrp2/opencores/spi_boot/*
Copyright: (c) 2005, Arnim Laeuger (arniml@opencores.org)
License: GPL-2
Comment:
 COPYING file is GPL-2.
 .
 On Debian systems, the complete text of the GNU General
 Public License can be found in `/usr/share/common-licenses/GPL-2'.
 .
 Not used for uhd-host package

Files: fpga-src/usrp2/opencores/wb_zbt
Copyright: (C) 2008 Sebastien Bourdeauducq - http://lekernel.net
License: Milkymist-LGPL-2+
Comment: Not used for uhd-host package

Files: fpga-src/usrp2/opencores/zpu/*
Copyright: 2004-2008 oharboe - �yvind Harboe - oyvind.harboe@zylin.com
License: zpu-FreeBSD
Comment: Not used for uhd-host package

License: GPL-2+
 This program is free software: you can redistribute it and/or modify
 it under the terms of the GNU General Public License as published by
 the Free Software Foundation, either version 2 of the License, or
 (at your option) any later version.
 .
 This program is distributed in the hope that it will be useful,
 but WITHOUT ANY WARRANTY; without even the implied warranty of
 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
 GNU General Public License for more details.
 .
 You should have received a copy of the GNU General Public License
 along with this program.  If not, see <http://www.gnu.org/licenses/>.
 .
 On Debian systems, the complete text of the GNU General
 Public License can be found in `/usr/share/common-licenses/GPL-3'.

License: GPL-3+
 This program is free software: you can redistribute it and/or modify
 it under the terms of the GNU General Public License as published by
 the Free Software Foundation, either version 3 of the License, or
 (at your option) any later version.
 .
 This program is distributed in the hope that it will be useful,
 but WITHOUT ANY WARRANTY; without even the implied warranty of
 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
 GNU General Public License for more details.
 .
 You should have received a copy of the GNU General Public License
 along with this program.  If not, see <http://www.gnu.org/licenses/>.
 .
 On Debian systems, the complete text of the GNU General
 Public License can be found in `/usr/share/common-licenses/GPL-3'.

License: Kitware-BSD
 Redistribution and use in source and binary forms, with or without
 modification, are permitted provided that the following conditions
 are met:
 .
 * Redistributions of source code must retain the above copyright
   notice, this list of conditions and the following disclaimer.
 .
 * Redistributions in binary form must reproduce the above copyright
   notice, this list of conditions and the following disclaimer in the
   documentation and/or other materials provided with the distribution.
 .
 * Neither the names of Kitware, Inc., the Insight Software Consortium,
   nor the names of their contributors may be used to endorse or promote
   products derived from this software without specific prior written
   permission.
 .
 THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
 "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
 LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
 A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
 HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
 SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
 LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
 DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
 THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
 (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
 OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.

License: lwip-BSD
 * Redistribution and use in source and binary forms, with or without modification, 
 * are permitted provided that the following conditions are met:
 *
 * 1. Redistributions of source code must retain the above copyright notice,
 *    this list of conditions and the following disclaimer.
 * 2. Redistributions in binary form must reproduce the above copyright notice,
 *    this list of conditions and the following disclaimer in the documentation
 *    and/or other materials provided with the distribution.
 * 3. The name of the author may not be used to endorse or promote products
 *    derived from this software without specific prior written permission. 
 *
 * THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR IMPLIED 
 * WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF 
 * MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT 
 * SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, 
 * EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT 
 * OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS 
 * INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN 
 * CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING 
 * IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY 
 * OF SUCH DAMAGE.

License: MBSI-BSD
 * The authors hereby grant permission to use, copy, modify, distribute,
 * and license this software and its documentation for any purpose, provided
 * that existing copyright notices are retained in all copies and that this
 * notice and the following disclaimer are included verbatim in any 
 * distributions. No written agreement, license, or royalty fee is required
 * for any of the authorized uses.
 *
 * THIS SOFTWARE IS PROVIDED BY THE CONTRIBUTORS *AS IS* AND ANY EXPRESS OR
 * IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES
 * OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. 
 * IN NO EVENT SHALL THE CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
 * INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT
 * NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
 * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
 * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
 * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
 * THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.

License: Rosenquist
 * Redistribution and use in source and binary forms are permitted
 * provided that the above copyright notice and this paragraph are
 * duplicated in all such forms and that any documentation,
 * advertising materials, and other materials related to such
 * distribution and use acknowledge that the software was developed
 * by Eric Rosenquist.  The name of the author may not be used to
 * endorse or promote products derived from this software without
 * specific prior written permission.
 *
 * THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY EXPRESS OR
 * IMPLIED WARRANTIES, INCLUDING, WITHOUT LIMITATION, THE IMPLIED
 * WARRANTIES OF MERCHANTIBILITY AND FITNESS FOR A PARTICULAR PURPOSE.

License: Christy
 * Redistribution and use in source and binary forms are permitted
 * provided that the above copyright notice and this paragraph are
 * duplicated in all such forms and that any documentation,
 * advertising materials, and other materials related to such
 * distribution and use acknowledge that the software was developed
 * by Gregory M. Christy.  The name of the author may not be used to
 * endorse or promote products derived from this software without
 * specific prior written permission.
 *
 * THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY EXPRESS OR
 * IMPLIED WARRANTIES, INCLUDING, WITHOUT LIMITATION, THE IMPLIED
 * WARRANTIES OF MERCHANTIBILITY AND FITNESS FOR A PARTICULAR PURPOSE.

License: Australian-National-University
 * Permission to use, copy, modify, and distribute this software and its
 * documentation is hereby granted, provided that the above copyright
 * notice appears in all copies.  This software is provided without any
 * warranty, express or implied. The Australian National University
 * makes no representations about the suitability of this software for
 * any purpose.
 *
 * IN NO EVENT SHALL THE AUSTRALIAN NATIONAL UNIVERSITY BE LIABLE TO ANY
 * PARTY FOR DIRECT, INDIRECT, SPECIAL, INCIDENTAL, OR CONSEQUENTIAL DAMAGES
 * ARISING OUT OF THE USE OF THIS SOFTWARE AND ITS DOCUMENTATION, EVEN IF
 * THE AUSTRALIAN NATIONAL UNIVERSITY HAVE BEEN ADVISED OF THE POSSIBILITY
 * OF SUCH DAMAGE.
 *
 * THE AUSTRALIAN NATIONAL UNIVERSITY SPECIFICALLY DISCLAIMS ANY WARRANTIES,
 * INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY
 * AND FITNESS FOR A PARTICULAR PURPOSE.  THE SOFTWARE PROVIDED HEREUNDER IS
 * ON AN "AS IS" BASIS, AND THE AUSTRALIAN NATIONAL UNIVERSITY HAS NO
 * OBLIGATION TO PROVIDE MAINTENANCE, SUPPORT, UPDATES, ENHANCEMENTS,
 * OR MODIFICATIONS.

License: Carnegie-Mellon-University
 * Redistribution and use in source and binary forms are permitted
 * provided that the above copyright notice and this paragraph are
 * duplicated in all such forms and that any documentation,
 * advertising materials, and other materials related to such
 * distribution and use acknowledge that the software was developed
 * by Carnegie Mellon University.  The name of the
 * University may not be used to endorse or promote products derived
 * from this software without specific prior written permission.
 * THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY EXPRESS OR
 * IMPLIED WARRANTIES, INCLUDING, WITHOUT LIMITATION, THE IMPLIED
 * WARRANTIES OF MERCHANTIBILITY AND FITNESS FOR A PARTICULAR PURPOSE.

License: CITEL-BSD
 * Redistribution and use in source and binary forms, with or without 
 * modification, are permitted provided that the following conditions 
 * are met: 
 * 1. Redistributions of source code must retain the above copyright 
 *    notice, this list of conditions and the following disclaimer. 
 * 2. Redistributions in binary form must reproduce the above copyright 
 *    notice, this list of conditions and the following disclaimer in the 
 *    documentation and/or other materials provided with the distribution. 
 * 3. Neither the name of CITEL Technologies Ltd nor the names of its contributors 
 *    may be used to endorse or promote products derived from this software 
 *    without specific prior written permission. 
 *
 * THIS SOFTWARE IS PROVIDED BY CITEL TECHNOLOGIES AND CONTRIBUTORS ``AS IS''
 * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE 
 * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE 
 * ARE DISCLAIMED.  IN NO EVENT SHALL CITEL TECHNOLOGIES OR CONTRIBUTORS BE LIABLE 
 * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL 
 * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS 
 * OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) 
 * HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT 
 * LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY 
 * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF 
 * SUCH DAMAGE. 
 *
 * This file is a contribution to the lwIP TCP/IP stack.
 * The Swedish Institute of Computer Science and Adam Dunkels
 * are specifically granted permission to redistribute this
 * source code.

License: NetBSD
 * Redistribution and use in source and binary forms, with or without
 * modification, are permitted provided that the following conditions
 * are met:
 * 1. Redistributions of source code must retain the above copyright
 *    notice, this list of conditions and the following disclaimer.
 * 2. Redistributions in binary form must reproduce the above copyright
 *    notice, this list of conditions and the following disclaimer in the
 *    documentation and/or other materials provided with the distribution.
 * 3. All advertising materials mentioning features or use of this software
 *    must display the following acknowledgement:
 *        This product includes software developed by the NetBSD
 *        Foundation, Inc. and its contributors.
 * 4. Neither the name of The NetBSD Foundation nor the names of its
 *    contributors may be used to endorse or promote products derived
 *    from this software without specific prior written permission.
 *
 * THIS SOFTWARE IS PROVIDED BY THE NETBSD FOUNDATION, INC. AND CONTRIBUTORS
 * ``AS IS'' AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
 * TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
 * PURPOSE ARE DISCLAIMED.  IN NO EVENT SHALL THE FOUNDATION OR CONTRIBUTORS
 * BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
 * CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
 * SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
 * INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
 * CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
 * ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
 * POSSIBILITY OF SUCH DAMAGE.

License: VJ-BSD
 * Redistribution and use in source and binary forms are permitted
 * provided that the above copyright notice and this paragraph are
 * duplicated in all such forms and that any documentation,
 * advertising materials, and other materials related to such
 * distribution and use acknowledge that the software was developed
 * by the University of California, Berkeley.  The name of the
 * University may not be used to endorse or promote products derived
 * from this software without specific prior written permission.
 * THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY EXPRESS OR
 * IMPLIED WARRANTIES, INCLUDING, WITHOUT LIMITATION, THE IMPLIED
 * WARRANTIES OF MERCHANTIBILITY AND FITNESS FOR A PARTICULAR PURPOSE.

License: RSA-BSD
 ** License to copy and use this software is granted provided that    **
 ** it is identified as the "RSA Data Security, Inc. MD5 Message-     **
 ** Digest Algorithm" in all material mentioning or referencing this  **
 ** software or this function.                                        **
 **                                                                   **
 ** License is also granted to make and use derivative works          **
 ** provided that such works are identified as "derived from the RSA  **
 ** Data Security, Inc. MD5 Message-Digest Algorithm" in all          **
 ** material mentioning or referencing the derived work.              **
 **                                                                   **
 ** RSA Data Security, Inc. makes no representations concerning       **
 ** either the merchantability of this software or the suitability    **
 ** of this software for any particular purpose.  It is provided "as  **
 ** is" without express or implied warranty of any kind.              **
 **                                                                   **
 ** These notices must be retained in any copies of any part of this  **
 ** documentation and/or software.

License: Altera-boilerplate
 Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
 support information,  device programming or simulation file,  and any other
 associated  documentation or information  provided by  Altera  or a partner
 under  Altera's   Megafunction   Partnership   Program  may  be  used  only
 to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
 other  use  of such  megafunction  design,  netlist,  support  information,
 device programming or simulation file,  or any other  related documentation
 or information  is prohibited  for  any  other purpose,  including, but not
 limited to  modification,  reverse engineering,  de-compiling, or use  with
 any other  silicon devices,  unless such use is  explicitly  licensed under
 a separate agreement with  Altera  or a megafunction partner.  Title to the
 intellectual property,  including patents,  copyrights,  trademarks,  trade
 secrets,  or maskworks,  embodied in any such megafunction design, netlist,
 support  information,  device programming or simulation file,  or any other
 related documentation or information provided by  Altera  or a megafunction
 partner, remains with Altera, the megafunction partner, or their respective
 licensors. No other licenses, including any licenses needed under any third
 party's intellectual property, are provided herein.

License: Xilinx-boilerplate
 This file is owned and controlled by Xilinx and must be used
 solely for design, simulation, implementation and creation of
 design files limited to Xilinx devices or technologies. Use
 with non-Xilinx devices or technologies is expressly prohibited
 and immediately terminates your license.
 .
 XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"
 SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR
 XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION
 AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION
 OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS
 IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,
 AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE
 FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY
 WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE
 IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF
 INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
 FOR A PARTICULAR PURPOSE.

License: Benz
 The information and description contained herein is the
 property of Chuck Benz.
 .
 Permission is granted for any reuse of this information
 and description as long as this copyright notice is
 preserved.  Modifications may be made as long as this
 notice is preserved.

License: AEMB-LGPL-3+
 AEMB is free software: you can redistribute it and/or modify it
 under the terms of the GNU Lesser General Public License as
 published by the Free Software Foundation, either version 3 of the
 License, or (at your option) any later version.
 .
 AEMB is distributed in the hope that it will be useful, but WITHOUT
 ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
 or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser General
 Public License for more details.
 .
 You should have received a copy of the GNU Lesser General Public
 License along with AEMB. If not, see <http://www.gnu.org/licenses/>.
 .
 On Debian systems, the complete text of the GNU Lesser General
 Public License can be found in `/usr/share/common-licenses/LGPL-3'.

License: Herveille
 This source file may be used and distributed without        
 restriction provided that this copyright statement is not   
 removed from the file and that any derivative work contains 
 the original copyright notice and the associated disclaimer.
 .                                                            
     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     
 EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   
 TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   
 FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      
 OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         
 INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    
 (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   
 GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        
 BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  
 LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  
 (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  
 OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         
 POSSIBILITY OF SUCH DAMAGE. 

License: Srot-LGPL-2.1+
 This source file may be used and distributed without         
 restriction provided that this copyright statement is not    
 removed from the file and that any derivative work contains  
 the original copyright notice and the associated disclaimer. 
 .
 This source file is free software; you can redistribute it   
 and/or modify it under the terms of the GNU Lesser General   
 Public License as published by the Free Software Foundation; 
 either version 2.1 of the License, or (at your option) any   
 later version.                                               
 .
 This source is distributed in the hope that it will be       
 useful, but WITHOUT ANY WARRANTY; without even the implied   
 warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      
 PURPOSE.  See the GNU Lesser General Public License for more 
 details.                                                     
 .
 You should have received a copy of the GNU Lesser General    
 Public License along with this source; if not, download it   
 from http://www.opencores.org/lgpl.shtml
 .
 On Debian systems, the complete text of the GNU Lesser General
 Public License can be found in `/usr/share/common-licenses/LGPL-2.1'.

License: GPL-2
 Redistribution and use in source and synthezised forms, with or without
 modification, are permitted provided that the following conditions are met:
 .
 Redistributions of source code must retain the above copyright notice,
 this list of conditions and the following disclaimer.
 .
 Redistributions in synthesized form must reproduce the above copyright
 notice, this list of conditions and the following disclaimer in the
 documentation and/or other materials provided with the distribution.
 .
 Neither the name of the author nor the names of other contributors may
 be used to endorse or promote products derived from this software without
 specific prior written permission.
 .
 THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
 AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
 THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
 PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
 LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
 CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
 SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
 INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
 CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
 ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
 POSSIBILITY OF SUCH DAMAGE.
 .
 Please report bugs to the author, but before you do so, please
 make sure that this is not a derivative work and that
 you have the latest version of this file.
 .
 The latest version of this file can be found at:
      http://www.opencores.org/projects.cgi/web/spi_boot/overview

License: Milkymist-LGPL-2+
 * Milkymist is free software; you can redistribute it and/or modify it
 * under the terms of the GNU Library General Public License as published
 * by the Free Software Foundation; either version 2, or (at your option)
 * any later version.
 *
 * This program is distributed in the hope that it will be useful,
 * but WITHOUT ANY WARRANTY; without even the implied warranty of
 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
 * Library General Public License for more details.
 *
 * You should have received a copy of the GNU Library General Public
 * License along with this program; if not, write to the Free Software
 * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301,
 * USA.

License: zpu-FreeBSD
 The FreeBSD license
 .
 Redistribution and use in source and binary forms, with or without
 modification, are permitted provided that the following conditions
 are met:
 .
 1. Redistributions of source code must retain the above copyright
    notice, this list of conditions and the following disclaimer.
 2. Redistributions in binary form must reproduce the above
    copyright notice, this list of conditions and the following
    disclaimer in the documentation and/or other materials
    provided with the distribution.
 .
 THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
 EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
 THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
 PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
 ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
 INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
 (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
 OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
 HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
 STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
 ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
 ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 .
 The views and conclusions contained in the software and documentation
 are those of the authors and should not be interpreted as representing
 official policies, either expressed or implied, of the ZPU Project.