aboutsummaryrefslogtreecommitdiffstatshomepage
path: root/drivers/clk/clk-fixed-rate.c (follow)
AgeCommit message (Expand)AuthorFilesLines
2023-03-28clk: fixed-rate: Convert to platform remove callback returning voidUwe Kleine-König1-4/+2
2022-09-29clk: fixed-rate: add devm_clk_hw_register_fixed_rateDmitry Baryshkov1-4/+24
2022-05-16clk: fixed-rate: Remove redundant if statementLi Zhengyu1-1/+1
2020-09-22clk: fixed: add missing kerneldocKrzysztof Kozlowski1-0/+1
2020-01-06clk: fixed-rate: Add clk flags for parent accuracyStephen Boyd1-1/+6
2020-01-05clk: fixed-rate: Add support for specifying parents via DT/pointersStephen Boyd1-36/+20
2020-01-05clk: fixed-rate: Move to_clk_fixed_rate() to C fileStephen Boyd1-0/+2
2020-01-05clk: fixed-rate: Remove clk_register_fixed_rate_with_accuracy()Stephen Boyd1-16/+7
2020-01-05clk: fixed-rate: Convert to clk_hw based APIsStephen Boyd1-16/+15
2019-11-22clk: Zero init clk_init_data in helpersManivannan Sadhasivam1-1/+1
2019-04-26clk: Remove CLK_IS_BASIC clk flagStephen Boyd1-1/+1
2018-12-11clk: Tag basic clk types with SPDXStephen Boyd1-4/+1
2018-10-18clk: fixed-rate: fix of_node_get-put imbalanceAlan Tull1-0/+1
2016-08-15clk: fixed-rate: Remove export symbol on setup functionStephen Boyd1-2/+1
2016-08-12clk: fixed-rate: Convert into a module platform driverRicardo Ribalda Delgado1-7/+64
2016-06-30clk: fixed-rate: add clk_hw_unregister_fixed_rate()Masahiro Yamada1-0/+11
2016-04-19clk: fixed-rate: Add hw based registration APIsStephen Boyd1-8/+36
2016-03-04clk: fixed-rate: Remove CLK_IS_ROOTStephen Boyd1-2/+1
2016-01-29clk: add clk_unregister_fixed_rate()Masahiro Yamada1-0/+13
2016-01-29clk: move the common clock's to_clk_*(_hw) macros to clk-provider.hGeliang Tang1-2/+0
2015-05-14clk: basic-types: Remove useless allocation failure printksStephen Boyd1-4/+2
2013-12-22clk: add accuracy support for fixed clockBoris BREZILLON1-6/+37
2013-08-16clk: fixed-rate: Export clk_fixed_rate_register()Stephen Boyd1-0/+1
2013-01-24clk: add common of_clk_init() functionPrashant Gaikwad1-0/+1
2013-01-15clk: remove exported function from __init sectionDenis Efremov1-1/+1
2012-10-29clk: fix return value check in of_fixed_clk_setup()Wei Yongjun1-1/+1
2012-07-11clk: add DT fixed-clock binding supportGrant Likely1-0/+23
2012-07-11clk: Add CLK_IS_BASIC flag to identify basic clocksRajendra Nayak1-1/+1
2012-05-01clk: Use a separate struct for holding init data.Saravana Kannan1-5/+9
2012-04-24clk: basic: improve parent_names & return errorsMike Turquette1-22/+18
2012-04-24clk: add "const" for clk_ops of basic clksShawn Guo1-1/+1
2012-04-24clk: remove unnecessary EXPORT_SYMBOL_GPLShawn Guo1-1/+0
2012-03-16clk: basic clock hardware typesMike Turquette1-0/+82