aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorClayton Smith <argilo@gmail.com>2022-01-14 19:13:10 -0500
committermormj <34754695+mormj@users.noreply.github.com>2022-01-15 11:25:27 -0500
commit0975b99f70a31d57bc3a7af9b4a585c54ab9745f (patch)
tree43a12769b72e65b0a9fed871229a40ece069d564
parentRelease v3.10.0.0 (diff)
downloadgnuradio-0975b99f70a31d57bc3a7af9b4a585c54ab9745f.tar.xz
gnuradio-0975b99f70a31d57bc3a7af9b4a585c54ab9745f.zip
uhd: Initialize _overflow_count to zero
Signed-off-by: Clayton Smith <argilo@gmail.com>
-rw-r--r--gr-uhd/lib/usrp_source_impl.cc1
1 files changed, 1 insertions, 0 deletions
diff --git a/gr-uhd/lib/usrp_source_impl.cc b/gr-uhd/lib/usrp_source_impl.cc
index b5ecdfffc..06dd3431b 100644
--- a/gr-uhd/lib/usrp_source_impl.cc
+++ b/gr-uhd/lib/usrp_source_impl.cc
@@ -41,6 +41,7 @@ usrp_source_impl::usrp_source_impl(const ::uhd::device_addr_t& device_addr,
_tag_now(false),
_issue_stream_cmd_on_start(issue_stream_cmd_on_start),
_last_log(std::chrono::steady_clock::now()),
+ _overflow_count(0),
_overflow_log_interval(
gr::prefs::singleton()->get_long("uhd", "logging_interval_ms", 750))
{