aboutsummaryrefslogtreecommitdiffstats
path: root/gr-uhd
diff options
context:
space:
mode:
authorMarcus Müller <mmueller@gnuradio.org>2021-06-17 01:18:28 +0200
committerMartin Braun <martin@gnuradio.org>2021-09-07 06:46:35 -0700
commit82f18329e808d8bbf2f475aa0cf4f367175a855d (patch)
treead54fe38462f1f2e7232e1de60f3ed0cf3b3fc66 /gr-uhd
parentruntime: include boost/format where used (diff)
downloadgnuradio-82f18329e808d8bbf2f475aa0cf4f367175a855d.tar.xz
gnuradio-82f18329e808d8bbf2f475aa0cf4f367175a855d.zip
modules: include boost/format where used
in the classic modules: audio blocks digital dtv fec fft filter qtgui trellis/fsm uhd video-sdl vocoder/freedv_rx Signed-off-by: Marcus Müller <mmueller@gnuradio.org>
Diffstat (limited to 'gr-uhd')
-rw-r--r--gr-uhd/lib/usrp_block_impl.cc1
-rw-r--r--gr-uhd/lib/usrp_sink_impl.cc1
2 files changed, 2 insertions, 0 deletions
diff --git a/gr-uhd/lib/usrp_block_impl.cc b/gr-uhd/lib/usrp_block_impl.cc
index b24b1c817..af6274581 100644
--- a/gr-uhd/lib/usrp_block_impl.cc
+++ b/gr-uhd/lib/usrp_block_impl.cc
@@ -9,6 +9,7 @@
*/
#include "usrp_block_impl.h"
+#include <boost/format.hpp>
#include <chrono>
#include <thread>
diff --git a/gr-uhd/lib/usrp_sink_impl.cc b/gr-uhd/lib/usrp_sink_impl.cc
index 6bf178945..39f103983 100644
--- a/gr-uhd/lib/usrp_sink_impl.cc
+++ b/gr-uhd/lib/usrp_sink_impl.cc
@@ -12,6 +12,7 @@
#include "usrp_sink_impl.h"
#include <gnuradio/io_signature.h>
#include <gnuradio/prefs.h>
+#include <boost/format.hpp>
#include <boost/thread/thread.hpp>
#include <chrono>
#include <climits>