aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorJavier Valenzuela <javier.valenzuela@ni.com>2024-03-07 18:03:09 +0000
committerWade Fife <wade.fife@ettus.com>2024-03-13 21:35:39 -0500
commitd4412b0022f07f94ccbb3b314ac7b81de1a479b8 (patch)
tree410ae4e937aade77adbf83c4143a8770c960e61f
parentfpga: ci: trigger fpga builds based on changeset (diff)
downloaduhd-d4412b0022f07f94ccbb3b314ac7b81de1a479b8.tar.xz
uhd-d4412b0022f07f94ccbb3b314ac7b81de1a479b8.zip
fpga: Update x3xx/e3xx RFNoC image core files
-rw-r--r--fpga/usrp3/top/e31x/e310_rfnoc_image_core.v112
-rw-r--r--fpga/usrp3/top/e320/e320_rfnoc_image_core.v200
-rw-r--r--fpga/usrp3/top/x300/x300_rfnoc_image_core.v224
-rw-r--r--fpga/usrp3/top/x300/x310_rfnoc_image_core.v224
4 files changed, 380 insertions, 380 deletions
diff --git a/fpga/usrp3/top/e31x/e310_rfnoc_image_core.v b/fpga/usrp3/top/e31x/e310_rfnoc_image_core.v
index e586d5c26..486731f92 100644
--- a/fpga/usrp3/top/e31x/e310_rfnoc_image_core.v
+++ b/fpga/usrp3/top/e31x/e310_rfnoc_image_core.v
@@ -52,58 +52,58 @@ module rfnoc_image_core #(
// time
input wire [ 63:0] radio_time,
// radio
- input wire [ 255:0] radio_rx_data,
- input wire [ 7:0] radio_rx_stb,
- output wire [ 7:0] radio_rx_running,
- output wire [ 255:0] radio_tx_data,
- input wire [ 7:0] radio_tx_stb,
- output wire [ 7:0] radio_tx_running,
+ input wire [1023:0] radio_rx_data,
+ input wire [ 31:0] radio_rx_stb,
+ output wire [ 31:0] radio_rx_running,
+ output wire [1023:0] radio_tx_data,
+ input wire [ 31:0] radio_tx_stb,
+ output wire [ 31:0] radio_tx_running,
// dram
input wire [ 0:0] axi_rst,
- output wire [ 3:0] m_axi_awid,
- output wire [ 191:0] m_axi_awaddr,
- output wire [ 31:0] m_axi_awlen,
- output wire [ 11:0] m_axi_awsize,
- output wire [ 7:0] m_axi_awburst,
- output wire [ 3:0] m_axi_awlock,
- output wire [ 15:0] m_axi_awcache,
- output wire [ 11:0] m_axi_awprot,
- output wire [ 15:0] m_axi_awqos,
- output wire [ 15:0] m_axi_awregion,
- output wire [ 3:0] m_axi_awuser,
- output wire [ 3:0] m_axi_awvalid,
- input wire [ 3:0] m_axi_awready,
- output wire [2047:0] m_axi_wdata,
- output wire [ 255:0] m_axi_wstrb,
- output wire [ 3:0] m_axi_wlast,
- output wire [ 3:0] m_axi_wuser,
- output wire [ 3:0] m_axi_wvalid,
- input wire [ 3:0] m_axi_wready,
- input wire [ 3:0] m_axi_bid,
- input wire [ 7:0] m_axi_bresp,
- input wire [ 3:0] m_axi_buser,
- input wire [ 3:0] m_axi_bvalid,
- output wire [ 3:0] m_axi_bready,
- output wire [ 3:0] m_axi_arid,
- output wire [ 191:0] m_axi_araddr,
- output wire [ 31:0] m_axi_arlen,
- output wire [ 11:0] m_axi_arsize,
- output wire [ 7:0] m_axi_arburst,
- output wire [ 3:0] m_axi_arlock,
- output wire [ 15:0] m_axi_arcache,
- output wire [ 11:0] m_axi_arprot,
- output wire [ 15:0] m_axi_arqos,
- output wire [ 15:0] m_axi_arregion,
- output wire [ 3:0] m_axi_aruser,
- output wire [ 3:0] m_axi_arvalid,
- input wire [ 3:0] m_axi_arready,
- input wire [ 3:0] m_axi_rid,
- input wire [2047:0] m_axi_rdata,
- input wire [ 7:0] m_axi_rresp,
- input wire [ 3:0] m_axi_rlast,
- input wire [ 3:0] m_axi_ruser,
- input wire [ 3:0] m_axi_rvalid,
- output wire [ 3:0] m_axi_rready,
+ output wire [ 7:0] m_axi_awid,
+ output wire [ 383:0] m_axi_awaddr,
+ output wire [ 63:0] m_axi_awlen,
+ output wire [ 23:0] m_axi_awsize,
+ output wire [ 15:0] m_axi_awburst,
+ output wire [ 7:0] m_axi_awlock,
+ output wire [ 31:0] m_axi_awcache,
+ output wire [ 23:0] m_axi_awprot,
+ output wire [ 31:0] m_axi_awqos,
+ output wire [ 31:0] m_axi_awregion,
+ output wire [ 7:0] m_axi_awuser,
+ output wire [ 7:0] m_axi_awvalid,
+ input wire [ 7:0] m_axi_awready,
+ output wire [4191:0] m_axi_wdata,
+ output wire [ 511:0] m_axi_wstrb,
+ output wire [ 7:0] m_axi_wlast,
+ output wire [ 7:0] m_axi_wuser,
+ output wire [ 7:0] m_axi_wvalid,
+ input wire [ 7:0] m_axi_wready,
+ input wire [ 7:0] m_axi_bid,
+ input wire [ 15:0] m_axi_bresp,
+ input wire [ 7:0] m_axi_buser,
+ input wire [ 7:0] m_axi_bvalid,
+ output wire [ 7:0] m_axi_bready,
+ output wire [ 7:0] m_axi_arid,
+ output wire [ 383:0] m_axi_araddr,
+ output wire [ 63:0] m_axi_arlen,
+ output wire [ 23:0] m_axi_arsize,
+ output wire [ 15:0] m_axi_arburst,
+ output wire [ 7:0] m_axi_arlock,
+ output wire [ 31:0] m_axi_arcache,
+ output wire [ 31:0] m_axi_arprot,
+ output wire [ 31:0] m_axi_arqos,
+ output wire [ 31:0] m_axi_arregion,
+ output wire [ 7:0] m_axi_aruser,
+ output wire [ 7:0] m_axi_arvalid,
+ input wire [ 7:0] m_axi_arready,
+ input wire [ 7:0] m_axi_rid,
+ input wire [4191:0] m_axi_rdata,
+ input wire [ 15:0] m_axi_rresp,
+ input wire [ 7:0] m_axi_rlast,
+ input wire [ 7:0] m_axi_ruser,
+ input wire [ 7:0] m_axi_rvalid,
+ output wire [ 7:0] m_axi_rready,
// Transport Adapters ///////////////
@@ -443,12 +443,12 @@ module rfnoc_image_core #(
// time
wire [ 63:0] radio0_radio_time;
// radio
- wire [ 255:0] radio0_radio_rx_data;
- wire [ 7:0] radio0_radio_rx_stb;
- wire [ 7:0] radio0_radio_rx_running;
- wire [ 255:0] radio0_radio_tx_data;
- wire [ 7:0] radio0_radio_tx_stb;
- wire [ 7:0] radio0_radio_tx_running;
+ wire [1023:0] radio0_radio_rx_data;
+ wire [ 31:0] radio0_radio_rx_stb;
+ wire [ 31:0] radio0_radio_rx_running;
+ wire [1023:0] radio0_radio_tx_data;
+ wire [ 31:0] radio0_radio_tx_stb;
+ wire [ 31:0] radio0_radio_tx_running;
rfnoc_block_radio #(
.THIS_PORTID (2),
diff --git a/fpga/usrp3/top/e320/e320_rfnoc_image_core.v b/fpga/usrp3/top/e320/e320_rfnoc_image_core.v
index 38ad985f6..042f2e912 100644
--- a/fpga/usrp3/top/e320/e320_rfnoc_image_core.v
+++ b/fpga/usrp3/top/e320/e320_rfnoc_image_core.v
@@ -53,58 +53,58 @@ module rfnoc_image_core #(
// time
input wire [ 63:0] radio_time,
// radio
- input wire [ 255:0] radio_rx_data,
- input wire [ 7:0] radio_rx_stb,
- output wire [ 7:0] radio_rx_running,
- output wire [ 255:0] radio_tx_data,
- input wire [ 7:0] radio_tx_stb,
- output wire [ 7:0] radio_tx_running,
+ input wire [1023:0] radio_rx_data,
+ input wire [ 31:0] radio_rx_stb,
+ output wire [ 31:0] radio_rx_running,
+ output wire [1023:0] radio_tx_data,
+ input wire [ 31:0] radio_tx_stb,
+ output wire [ 31:0] radio_tx_running,
// dram
input wire [ 0:0] axi_rst,
- output wire [ 3:0] m_axi_awid,
- output wire [ 191:0] m_axi_awaddr,
- output wire [ 31:0] m_axi_awlen,
- output wire [ 11:0] m_axi_awsize,
- output wire [ 7:0] m_axi_awburst,
- output wire [ 3:0] m_axi_awlock,
- output wire [ 15:0] m_axi_awcache,
- output wire [ 11:0] m_axi_awprot,
- output wire [ 15:0] m_axi_awqos,
- output wire [ 15:0] m_axi_awregion,
- output wire [ 3:0] m_axi_awuser,
- output wire [ 3:0] m_axi_awvalid,
- input wire [ 3:0] m_axi_awready,
- output wire [2047:0] m_axi_wdata,
- output wire [ 255:0] m_axi_wstrb,
- output wire [ 3:0] m_axi_wlast,
- output wire [ 3:0] m_axi_wuser,
- output wire [ 3:0] m_axi_wvalid,
- input wire [ 3:0] m_axi_wready,
- input wire [ 3:0] m_axi_bid,
- input wire [ 7:0] m_axi_bresp,
- input wire [ 3:0] m_axi_buser,
- input wire [ 3:0] m_axi_bvalid,
- output wire [ 3:0] m_axi_bready,
- output wire [ 3:0] m_axi_arid,
- output wire [ 191:0] m_axi_araddr,
- output wire [ 31:0] m_axi_arlen,
- output wire [ 11:0] m_axi_arsize,
- output wire [ 7:0] m_axi_arburst,
- output wire [ 3:0] m_axi_arlock,
- output wire [ 15:0] m_axi_arcache,
- output wire [ 11:0] m_axi_arprot,
- output wire [ 15:0] m_axi_arqos,
- output wire [ 15:0] m_axi_arregion,
- output wire [ 3:0] m_axi_aruser,
- output wire [ 3:0] m_axi_arvalid,
- input wire [ 3:0] m_axi_arready,
- input wire [ 3:0] m_axi_rid,
- input wire [2047:0] m_axi_rdata,
- input wire [ 7:0] m_axi_rresp,
- input wire [ 3:0] m_axi_rlast,
- input wire [ 3:0] m_axi_ruser,
- input wire [ 3:0] m_axi_rvalid,
- output wire [ 3:0] m_axi_rready,
+ output wire [ 7:0] m_axi_awid,
+ output wire [ 383:0] m_axi_awaddr,
+ output wire [ 63:0] m_axi_awlen,
+ output wire [ 23:0] m_axi_awsize,
+ output wire [ 15:0] m_axi_awburst,
+ output wire [ 7:0] m_axi_awlock,
+ output wire [ 31:0] m_axi_awcache,
+ output wire [ 23:0] m_axi_awprot,
+ output wire [ 31:0] m_axi_awqos,
+ output wire [ 31:0] m_axi_awregion,
+ output wire [ 7:0] m_axi_awuser,
+ output wire [ 7:0] m_axi_awvalid,
+ input wire [ 7:0] m_axi_awready,
+ output wire [4191:0] m_axi_wdata,
+ output wire [ 511:0] m_axi_wstrb,
+ output wire [ 7:0] m_axi_wlast,
+ output wire [ 7:0] m_axi_wuser,
+ output wire [ 7:0] m_axi_wvalid,
+ input wire [ 7:0] m_axi_wready,
+ input wire [ 7:0] m_axi_bid,
+ input wire [ 15:0] m_axi_bresp,
+ input wire [ 7:0] m_axi_buser,
+ input wire [ 7:0] m_axi_bvalid,
+ output wire [ 7:0] m_axi_bready,
+ output wire [ 7:0] m_axi_arid,
+ output wire [ 383:0] m_axi_araddr,
+ output wire [ 63:0] m_axi_arlen,
+ output wire [ 23:0] m_axi_arsize,
+ output wire [ 15:0] m_axi_arburst,
+ output wire [ 7:0] m_axi_arlock,
+ output wire [ 31:0] m_axi_arcache,
+ output wire [ 31:0] m_axi_arprot,
+ output wire [ 31:0] m_axi_arqos,
+ output wire [ 31:0] m_axi_arregion,
+ output wire [ 7:0] m_axi_aruser,
+ output wire [ 7:0] m_axi_arvalid,
+ input wire [ 7:0] m_axi_arready,
+ input wire [ 7:0] m_axi_rid,
+ input wire [4191:0] m_axi_rdata,
+ input wire [ 15:0] m_axi_rresp,
+ input wire [ 7:0] m_axi_rlast,
+ input wire [ 7:0] m_axi_ruser,
+ input wire [ 7:0] m_axi_rvalid,
+ output wire [ 7:0] m_axi_rready,
// Transport Adapters ///////////////
@@ -720,12 +720,12 @@ module rfnoc_image_core #(
// time
wire [ 63:0] radio0_radio_time;
// radio
- wire [ 255:0] radio0_radio_rx_data;
- wire [ 7:0] radio0_radio_rx_stb;
- wire [ 7:0] radio0_radio_rx_running;
- wire [ 255:0] radio0_radio_tx_data;
- wire [ 7:0] radio0_radio_tx_stb;
- wire [ 7:0] radio0_radio_tx_running;
+ wire [1023:0] radio0_radio_rx_data;
+ wire [ 31:0] radio0_radio_rx_stb;
+ wire [ 31:0] radio0_radio_rx_running;
+ wire [1023:0] radio0_radio_tx_data;
+ wire [ 31:0] radio0_radio_tx_stb;
+ wire [ 31:0] radio0_radio_tx_running;
rfnoc_block_radio #(
.THIS_PORTID (4),
@@ -791,50 +791,50 @@ module rfnoc_image_core #(
// axi_ram
wire [ 0:0] fifo0_axi_rst;
- wire [ 3:0] fifo0_m_axi_awid;
- wire [ 191:0] fifo0_m_axi_awaddr;
- wire [ 31:0] fifo0_m_axi_awlen;
- wire [ 11:0] fifo0_m_axi_awsize;
- wire [ 7:0] fifo0_m_axi_awburst;
- wire [ 3:0] fifo0_m_axi_awlock;
- wire [ 15:0] fifo0_m_axi_awcache;
- wire [ 11:0] fifo0_m_axi_awprot;
- wire [ 15:0] fifo0_m_axi_awqos;
- wire [ 15:0] fifo0_m_axi_awregion;
- wire [ 3:0] fifo0_m_axi_awuser;
- wire [ 3:0] fifo0_m_axi_awvalid;
- wire [ 3:0] fifo0_m_axi_awready;
- wire [2047:0] fifo0_m_axi_wdata;
- wire [ 255:0] fifo0_m_axi_wstrb;
- wire [ 3:0] fifo0_m_axi_wlast;
- wire [ 3:0] fifo0_m_axi_wuser;
- wire [ 3:0] fifo0_m_axi_wvalid;
- wire [ 3:0] fifo0_m_axi_wready;
- wire [ 3:0] fifo0_m_axi_bid;
- wire [ 7:0] fifo0_m_axi_bresp;
- wire [ 3:0] fifo0_m_axi_buser;
- wire [ 3:0] fifo0_m_axi_bvalid;
- wire [ 3:0] fifo0_m_axi_bready;
- wire [ 3:0] fifo0_m_axi_arid;
- wire [ 191:0] fifo0_m_axi_araddr;
- wire [ 31:0] fifo0_m_axi_arlen;
- wire [ 11:0] fifo0_m_axi_arsize;
- wire [ 7:0] fifo0_m_axi_arburst;
- wire [ 3:0] fifo0_m_axi_arlock;
- wire [ 15:0] fifo0_m_axi_arcache;
- wire [ 11:0] fifo0_m_axi_arprot;
- wire [ 15:0] fifo0_m_axi_arqos;
- wire [ 15:0] fifo0_m_axi_arregion;
- wire [ 3:0] fifo0_m_axi_aruser;
- wire [ 3:0] fifo0_m_axi_arvalid;
- wire [ 3:0] fifo0_m_axi_arready;
- wire [ 3:0] fifo0_m_axi_rid;
- wire [2047:0] fifo0_m_axi_rdata;
- wire [ 7:0] fifo0_m_axi_rresp;
- wire [ 3:0] fifo0_m_axi_rlast;
- wire [ 3:0] fifo0_m_axi_ruser;
- wire [ 3:0] fifo0_m_axi_rvalid;
- wire [ 3:0] fifo0_m_axi_rready;
+ wire [ 7:0] fifo0_m_axi_awid;
+ wire [ 383:0] fifo0_m_axi_awaddr;
+ wire [ 63:0] fifo0_m_axi_awlen;
+ wire [ 23:0] fifo0_m_axi_awsize;
+ wire [ 15:0] fifo0_m_axi_awburst;
+ wire [ 7:0] fifo0_m_axi_awlock;
+ wire [ 31:0] fifo0_m_axi_awcache;
+ wire [ 23:0] fifo0_m_axi_awprot;
+ wire [ 31:0] fifo0_m_axi_awqos;
+ wire [ 31:0] fifo0_m_axi_awregion;
+ wire [ 7:0] fifo0_m_axi_awuser;
+ wire [ 7:0] fifo0_m_axi_awvalid;
+ wire [ 7:0] fifo0_m_axi_awready;
+ wire [4191:0] fifo0_m_axi_wdata;
+ wire [ 511:0] fifo0_m_axi_wstrb;
+ wire [ 7:0] fifo0_m_axi_wlast;
+ wire [ 7:0] fifo0_m_axi_wuser;
+ wire [ 7:0] fifo0_m_axi_wvalid;
+ wire [ 7:0] fifo0_m_axi_wready;
+ wire [ 7:0] fifo0_m_axi_bid;
+ wire [ 15:0] fifo0_m_axi_bresp;
+ wire [ 7:0] fifo0_m_axi_buser;
+ wire [ 7:0] fifo0_m_axi_bvalid;
+ wire [ 7:0] fifo0_m_axi_bready;
+ wire [ 7:0] fifo0_m_axi_arid;
+ wire [ 383:0] fifo0_m_axi_araddr;
+ wire [ 63:0] fifo0_m_axi_arlen;
+ wire [ 23:0] fifo0_m_axi_arsize;
+ wire [ 15:0] fifo0_m_axi_arburst;
+ wire [ 7:0] fifo0_m_axi_arlock;
+ wire [ 31:0] fifo0_m_axi_arcache;
+ wire [ 31:0] fifo0_m_axi_arprot;
+ wire [ 31:0] fifo0_m_axi_arqos;
+ wire [ 31:0] fifo0_m_axi_arregion;
+ wire [ 7:0] fifo0_m_axi_aruser;
+ wire [ 7:0] fifo0_m_axi_arvalid;
+ wire [ 7:0] fifo0_m_axi_arready;
+ wire [ 7:0] fifo0_m_axi_rid;
+ wire [4191:0] fifo0_m_axi_rdata;
+ wire [ 15:0] fifo0_m_axi_rresp;
+ wire [ 7:0] fifo0_m_axi_rlast;
+ wire [ 7:0] fifo0_m_axi_ruser;
+ wire [ 7:0] fifo0_m_axi_rvalid;
+ wire [ 7:0] fifo0_m_axi_rready;
rfnoc_block_axi_ram_fifo #(
.THIS_PORTID (5),
diff --git a/fpga/usrp3/top/x300/x300_rfnoc_image_core.v b/fpga/usrp3/top/x300/x300_rfnoc_image_core.v
index 034482a32..ed9088665 100644
--- a/fpga/usrp3/top/x300/x300_rfnoc_image_core.v
+++ b/fpga/usrp3/top/x300/x300_rfnoc_image_core.v
@@ -66,65 +66,65 @@ module rfnoc_image_core #(
// time
input wire [ 63:0] radio_time,
// radio0
- input wire [ 255:0] radio_rx_data_radio0,
- input wire [ 7:0] radio_rx_stb_radio0,
- output wire [ 7:0] radio_rx_running_radio0,
- output wire [ 255:0] radio_tx_data_radio0,
- input wire [ 7:0] radio_tx_stb_radio0,
- output wire [ 7:0] radio_tx_running_radio0,
+ input wire [1023:0] radio_rx_data_radio0,
+ input wire [ 31:0] radio_rx_stb_radio0,
+ output wire [ 31:0] radio_rx_running_radio0,
+ output wire [1023:0] radio_tx_data_radio0,
+ input wire [ 31:0] radio_tx_stb_radio0,
+ output wire [ 31:0] radio_tx_running_radio0,
// radio1
- input wire [ 255:0] radio_rx_data_radio1,
- input wire [ 7:0] radio_rx_stb_radio1,
- output wire [ 7:0] radio_rx_running_radio1,
- output wire [ 255:0] radio_tx_data_radio1,
- input wire [ 7:0] radio_tx_stb_radio1,
- output wire [ 7:0] radio_tx_running_radio1,
+ input wire [1023:0] radio_rx_data_radio1,
+ input wire [ 31:0] radio_rx_stb_radio1,
+ output wire [ 31:0] radio_rx_running_radio1,
+ output wire [1023:0] radio_tx_data_radio1,
+ input wire [ 31:0] radio_tx_stb_radio1,
+ output wire [ 31:0] radio_tx_running_radio1,
// dram
input wire [ 0:0] axi_rst,
- output wire [ 3:0] m_axi_awid,
- output wire [ 191:0] m_axi_awaddr,
- output wire [ 31:0] m_axi_awlen,
- output wire [ 11:0] m_axi_awsize,
- output wire [ 7:0] m_axi_awburst,
- output wire [ 3:0] m_axi_awlock,
- output wire [ 15:0] m_axi_awcache,
- output wire [ 11:0] m_axi_awprot,
- output wire [ 15:0] m_axi_awqos,
- output wire [ 15:0] m_axi_awregion,
- output wire [ 3:0] m_axi_awuser,
- output wire [ 3:0] m_axi_awvalid,
- input wire [ 3:0] m_axi_awready,
- output wire [2047:0] m_axi_wdata,
- output wire [ 255:0] m_axi_wstrb,
- output wire [ 3:0] m_axi_wlast,
- output wire [ 3:0] m_axi_wuser,
- output wire [ 3:0] m_axi_wvalid,
- input wire [ 3:0] m_axi_wready,
- input wire [ 3:0] m_axi_bid,
- input wire [ 7:0] m_axi_bresp,
- input wire [ 3:0] m_axi_buser,
- input wire [ 3:0] m_axi_bvalid,
- output wire [ 3:0] m_axi_bready,
- output wire [ 3:0] m_axi_arid,
- output wire [ 191:0] m_axi_araddr,
- output wire [ 31:0] m_axi_arlen,
- output wire [ 11:0] m_axi_arsize,
- output wire [ 7:0] m_axi_arburst,
- output wire [ 3:0] m_axi_arlock,
- output wire [ 15:0] m_axi_arcache,
- output wire [ 11:0] m_axi_arprot,
- output wire [ 15:0] m_axi_arqos,
- output wire [ 15:0] m_axi_arregion,
- output wire [ 3:0] m_axi_aruser,
- output wire [ 3:0] m_axi_arvalid,
- input wire [ 3:0] m_axi_arready,
- input wire [ 3:0] m_axi_rid,
- input wire [2047:0] m_axi_rdata,
- input wire [ 7:0] m_axi_rresp,
- input wire [ 3:0] m_axi_rlast,
- input wire [ 3:0] m_axi_ruser,
- input wire [ 3:0] m_axi_rvalid,
- output wire [ 3:0] m_axi_rready,
+ output wire [ 7:0] m_axi_awid,
+ output wire [ 383:0] m_axi_awaddr,
+ output wire [ 63:0] m_axi_awlen,
+ output wire [ 23:0] m_axi_awsize,
+ output wire [ 15:0] m_axi_awburst,
+ output wire [ 7:0] m_axi_awlock,
+ output wire [ 31:0] m_axi_awcache,
+ output wire [ 23:0] m_axi_awprot,
+ output wire [ 31:0] m_axi_awqos,
+ output wire [ 31:0] m_axi_awregion,
+ output wire [ 7:0] m_axi_awuser,
+ output wire [ 7:0] m_axi_awvalid,
+ input wire [ 7:0] m_axi_awready,
+ output wire [4191:0] m_axi_wdata,
+ output wire [ 511:0] m_axi_wstrb,
+ output wire [ 7:0] m_axi_wlast,
+ output wire [ 7:0] m_axi_wuser,
+ output wire [ 7:0] m_axi_wvalid,
+ input wire [ 7:0] m_axi_wready,
+ input wire [ 7:0] m_axi_bid,
+ input wire [ 15:0] m_axi_bresp,
+ input wire [ 7:0] m_axi_buser,
+ input wire [ 7:0] m_axi_bvalid,
+ output wire [ 7:0] m_axi_bready,
+ output wire [ 7:0] m_axi_arid,
+ output wire [ 383:0] m_axi_araddr,
+ output wire [ 63:0] m_axi_arlen,
+ output wire [ 23:0] m_axi_arsize,
+ output wire [ 15:0] m_axi_arburst,
+ output wire [ 7:0] m_axi_arlock,
+ output wire [ 31:0] m_axi_arcache,
+ output wire [ 31:0] m_axi_arprot,
+ output wire [ 31:0] m_axi_arqos,
+ output wire [ 31:0] m_axi_arregion,
+ output wire [ 7:0] m_axi_aruser,
+ output wire [ 7:0] m_axi_arvalid,
+ input wire [ 7:0] m_axi_arready,
+ input wire [ 7:0] m_axi_rid,
+ input wire [4191:0] m_axi_rdata,
+ input wire [ 15:0] m_axi_rresp,
+ input wire [ 7:0] m_axi_rlast,
+ input wire [ 7:0] m_axi_ruser,
+ input wire [ 7:0] m_axi_rvalid,
+ output wire [ 7:0] m_axi_rready,
// Transport Adapters ///////////////
@@ -926,12 +926,12 @@ module rfnoc_image_core #(
// time
wire [ 63:0] radio0_radio_time;
// radio
- wire [ 255:0] radio0_radio_rx_data;
- wire [ 7:0] radio0_radio_rx_stb;
- wire [ 7:0] radio0_radio_rx_running;
- wire [ 255:0] radio0_radio_tx_data;
- wire [ 7:0] radio0_radio_tx_stb;
- wire [ 7:0] radio0_radio_tx_running;
+ wire [1023:0] radio0_radio_rx_data;
+ wire [ 31:0] radio0_radio_rx_stb;
+ wire [ 31:0] radio0_radio_rx_running;
+ wire [1023:0] radio0_radio_tx_data;
+ wire [ 31:0] radio0_radio_tx_stb;
+ wire [ 31:0] radio0_radio_tx_running;
rfnoc_block_radio #(
.THIS_PORTID (4),
@@ -1099,12 +1099,12 @@ module rfnoc_image_core #(
// time
wire [ 63:0] radio1_radio_time;
// radio
- wire [ 255:0] radio1_radio_rx_data;
- wire [ 7:0] radio1_radio_rx_stb;
- wire [ 7:0] radio1_radio_rx_running;
- wire [ 255:0] radio1_radio_tx_data;
- wire [ 7:0] radio1_radio_tx_stb;
- wire [ 7:0] radio1_radio_tx_running;
+ wire [1023:0] radio1_radio_rx_data;
+ wire [ 31:0] radio1_radio_rx_stb;
+ wire [ 31:0] radio1_radio_rx_running;
+ wire [1023:0] radio1_radio_tx_data;
+ wire [ 31:0] radio1_radio_tx_stb;
+ wire [ 31:0] radio1_radio_tx_running;
rfnoc_block_radio #(
.THIS_PORTID (7),
@@ -1170,50 +1170,50 @@ module rfnoc_image_core #(
// axi_ram
wire [ 0:0] replay0_axi_rst;
- wire [ 3:0] replay0_m_axi_awid;
- wire [ 191:0] replay0_m_axi_awaddr;
- wire [ 31:0] replay0_m_axi_awlen;
- wire [ 11:0] replay0_m_axi_awsize;
- wire [ 7:0] replay0_m_axi_awburst;
- wire [ 3:0] replay0_m_axi_awlock;
- wire [ 15:0] replay0_m_axi_awcache;
- wire [ 11:0] replay0_m_axi_awprot;
- wire [ 15:0] replay0_m_axi_awqos;
- wire [ 15:0] replay0_m_axi_awregion;
- wire [ 3:0] replay0_m_axi_awuser;
- wire [ 3:0] replay0_m_axi_awvalid;
- wire [ 3:0] replay0_m_axi_awready;
- wire [2047:0] replay0_m_axi_wdata;
- wire [ 255:0] replay0_m_axi_wstrb;
- wire [ 3:0] replay0_m_axi_wlast;
- wire [ 3:0] replay0_m_axi_wuser;
- wire [ 3:0] replay0_m_axi_wvalid;
- wire [ 3:0] replay0_m_axi_wready;
- wire [ 3:0] replay0_m_axi_bid;
- wire [ 7:0] replay0_m_axi_bresp;
- wire [ 3:0] replay0_m_axi_buser;
- wire [ 3:0] replay0_m_axi_bvalid;
- wire [ 3:0] replay0_m_axi_bready;
- wire [ 3:0] replay0_m_axi_arid;
- wire [ 191:0] replay0_m_axi_araddr;
- wire [ 31:0] replay0_m_axi_arlen;
- wire [ 11:0] replay0_m_axi_arsize;
- wire [ 7:0] replay0_m_axi_arburst;
- wire [ 3:0] replay0_m_axi_arlock;
- wire [ 15:0] replay0_m_axi_arcache;
- wire [ 11:0] replay0_m_axi_arprot;
- wire [ 15:0] replay0_m_axi_arqos;
- wire [ 15:0] replay0_m_axi_arregion;
- wire [ 3:0] replay0_m_axi_aruser;
- wire [ 3:0] replay0_m_axi_arvalid;
- wire [ 3:0] replay0_m_axi_arready;
- wire [ 3:0] replay0_m_axi_rid;
- wire [2047:0] replay0_m_axi_rdata;
- wire [ 7:0] replay0_m_axi_rresp;
- wire [ 3:0] replay0_m_axi_rlast;
- wire [ 3:0] replay0_m_axi_ruser;
- wire [ 3:0] replay0_m_axi_rvalid;
- wire [ 3:0] replay0_m_axi_rready;
+ wire [ 7:0] replay0_m_axi_awid;
+ wire [ 383:0] replay0_m_axi_awaddr;
+ wire [ 63:0] replay0_m_axi_awlen;
+ wire [ 23:0] replay0_m_axi_awsize;
+ wire [ 15:0] replay0_m_axi_awburst;
+ wire [ 7:0] replay0_m_axi_awlock;
+ wire [ 31:0] replay0_m_axi_awcache;
+ wire [ 23:0] replay0_m_axi_awprot;
+ wire [ 31:0] replay0_m_axi_awqos;
+ wire [ 31:0] replay0_m_axi_awregion;
+ wire [ 7:0] replay0_m_axi_awuser;
+ wire [ 7:0] replay0_m_axi_awvalid;
+ wire [ 7:0] replay0_m_axi_awready;
+ wire [4191:0] replay0_m_axi_wdata;
+ wire [ 511:0] replay0_m_axi_wstrb;
+ wire [ 7:0] replay0_m_axi_wlast;
+ wire [ 7:0] replay0_m_axi_wuser;
+ wire [ 7:0] replay0_m_axi_wvalid;
+ wire [ 7:0] replay0_m_axi_wready;
+ wire [ 7:0] replay0_m_axi_bid;
+ wire [ 15:0] replay0_m_axi_bresp;
+ wire [ 7:0] replay0_m_axi_buser;
+ wire [ 7:0] replay0_m_axi_bvalid;
+ wire [ 7:0] replay0_m_axi_bready;
+ wire [ 7:0] replay0_m_axi_arid;
+ wire [ 383:0] replay0_m_axi_araddr;
+ wire [ 63:0] replay0_m_axi_arlen;
+ wire [ 23:0] replay0_m_axi_arsize;
+ wire [ 15:0] replay0_m_axi_arburst;
+ wire [ 7:0] replay0_m_axi_arlock;
+ wire [ 31:0] replay0_m_axi_arcache;
+ wire [ 31:0] replay0_m_axi_arprot;
+ wire [ 31:0] replay0_m_axi_arqos;
+ wire [ 31:0] replay0_m_axi_arregion;
+ wire [ 7:0] replay0_m_axi_aruser;
+ wire [ 7:0] replay0_m_axi_arvalid;
+ wire [ 7:0] replay0_m_axi_arready;
+ wire [ 7:0] replay0_m_axi_rid;
+ wire [4191:0] replay0_m_axi_rdata;
+ wire [ 15:0] replay0_m_axi_rresp;
+ wire [ 7:0] replay0_m_axi_rlast;
+ wire [ 7:0] replay0_m_axi_ruser;
+ wire [ 7:0] replay0_m_axi_rvalid;
+ wire [ 7:0] replay0_m_axi_rready;
rfnoc_block_replay #(
.THIS_PORTID (8),
diff --git a/fpga/usrp3/top/x300/x310_rfnoc_image_core.v b/fpga/usrp3/top/x300/x310_rfnoc_image_core.v
index c7ab8c66b..e3abab890 100644
--- a/fpga/usrp3/top/x300/x310_rfnoc_image_core.v
+++ b/fpga/usrp3/top/x300/x310_rfnoc_image_core.v
@@ -66,65 +66,65 @@ module rfnoc_image_core #(
// time
input wire [ 63:0] radio_time,
// radio0
- input wire [ 255:0] radio_rx_data_radio0,
- input wire [ 7:0] radio_rx_stb_radio0,
- output wire [ 7:0] radio_rx_running_radio0,
- output wire [ 255:0] radio_tx_data_radio0,
- input wire [ 7:0] radio_tx_stb_radio0,
- output wire [ 7:0] radio_tx_running_radio0,
+ input wire [1023:0] radio_rx_data_radio0,
+ input wire [ 31:0] radio_rx_stb_radio0,
+ output wire [ 31:0] radio_rx_running_radio0,
+ output wire [1023:0] radio_tx_data_radio0,
+ input wire [ 31:0] radio_tx_stb_radio0,
+ output wire [ 31:0] radio_tx_running_radio0,
// radio1
- input wire [ 255:0] radio_rx_data_radio1,
- input wire [ 7:0] radio_rx_stb_radio1,
- output wire [ 7:0] radio_rx_running_radio1,
- output wire [ 255:0] radio_tx_data_radio1,
- input wire [ 7:0] radio_tx_stb_radio1,
- output wire [ 7:0] radio_tx_running_radio1,
+ input wire [1023:0] radio_rx_data_radio1,
+ input wire [ 31:0] radio_rx_stb_radio1,
+ output wire [ 31:0] radio_rx_running_radio1,
+ output wire [1023:0] radio_tx_data_radio1,
+ input wire [ 31:0] radio_tx_stb_radio1,
+ output wire [ 31:0] radio_tx_running_radio1,
// dram
input wire [ 0:0] axi_rst,
- output wire [ 3:0] m_axi_awid,
- output wire [ 191:0] m_axi_awaddr,
- output wire [ 31:0] m_axi_awlen,
- output wire [ 11:0] m_axi_awsize,
- output wire [ 7:0] m_axi_awburst,
- output wire [ 3:0] m_axi_awlock,
- output wire [ 15:0] m_axi_awcache,
- output wire [ 11:0] m_axi_awprot,
- output wire [ 15:0] m_axi_awqos,
- output wire [ 15:0] m_axi_awregion,
- output wire [ 3:0] m_axi_awuser,
- output wire [ 3:0] m_axi_awvalid,
- input wire [ 3:0] m_axi_awready,
- output wire [2047:0] m_axi_wdata,
- output wire [ 255:0] m_axi_wstrb,
- output wire [ 3:0] m_axi_wlast,
- output wire [ 3:0] m_axi_wuser,
- output wire [ 3:0] m_axi_wvalid,
- input wire [ 3:0] m_axi_wready,
- input wire [ 3:0] m_axi_bid,
- input wire [ 7:0] m_axi_bresp,
- input wire [ 3:0] m_axi_buser,
- input wire [ 3:0] m_axi_bvalid,
- output wire [ 3:0] m_axi_bready,
- output wire [ 3:0] m_axi_arid,
- output wire [ 191:0] m_axi_araddr,
- output wire [ 31:0] m_axi_arlen,
- output wire [ 11:0] m_axi_arsize,
- output wire [ 7:0] m_axi_arburst,
- output wire [ 3:0] m_axi_arlock,
- output wire [ 15:0] m_axi_arcache,
- output wire [ 11:0] m_axi_arprot,
- output wire [ 15:0] m_axi_arqos,
- output wire [ 15:0] m_axi_arregion,
- output wire [ 3:0] m_axi_aruser,
- output wire [ 3:0] m_axi_arvalid,
- input wire [ 3:0] m_axi_arready,
- input wire [ 3:0] m_axi_rid,
- input wire [2047:0] m_axi_rdata,
- input wire [ 7:0] m_axi_rresp,
- input wire [ 3:0] m_axi_rlast,
- input wire [ 3:0] m_axi_ruser,
- input wire [ 3:0] m_axi_rvalid,
- output wire [ 3:0] m_axi_rready,
+ output wire [ 7:0] m_axi_awid,
+ output wire [ 383:0] m_axi_awaddr,
+ output wire [ 63:0] m_axi_awlen,
+ output wire [ 23:0] m_axi_awsize,
+ output wire [ 15:0] m_axi_awburst,
+ output wire [ 7:0] m_axi_awlock,
+ output wire [ 31:0] m_axi_awcache,
+ output wire [ 23:0] m_axi_awprot,
+ output wire [ 31:0] m_axi_awqos,
+ output wire [ 31:0] m_axi_awregion,
+ output wire [ 7:0] m_axi_awuser,
+ output wire [ 7:0] m_axi_awvalid,
+ input wire [ 7:0] m_axi_awready,
+ output wire [4191:0] m_axi_wdata,
+ output wire [ 511:0] m_axi_wstrb,
+ output wire [ 7:0] m_axi_wlast,
+ output wire [ 7:0] m_axi_wuser,
+ output wire [ 7:0] m_axi_wvalid,
+ input wire [ 7:0] m_axi_wready,
+ input wire [ 7:0] m_axi_bid,
+ input wire [ 15:0] m_axi_bresp,
+ input wire [ 7:0] m_axi_buser,
+ input wire [ 7:0] m_axi_bvalid,
+ output wire [ 7:0] m_axi_bready,
+ output wire [ 7:0] m_axi_arid,
+ output wire [ 383:0] m_axi_araddr,
+ output wire [ 63:0] m_axi_arlen,
+ output wire [ 23:0] m_axi_arsize,
+ output wire [ 15:0] m_axi_arburst,
+ output wire [ 7:0] m_axi_arlock,
+ output wire [ 31:0] m_axi_arcache,
+ output wire [ 31:0] m_axi_arprot,
+ output wire [ 31:0] m_axi_arqos,
+ output wire [ 31:0] m_axi_arregion,
+ output wire [ 7:0] m_axi_aruser,
+ output wire [ 7:0] m_axi_arvalid,
+ input wire [ 7:0] m_axi_arready,
+ input wire [ 7:0] m_axi_rid,
+ input wire [4191:0] m_axi_rdata,
+ input wire [ 15:0] m_axi_rresp,
+ input wire [ 7:0] m_axi_rlast,
+ input wire [ 7:0] m_axi_ruser,
+ input wire [ 7:0] m_axi_rvalid,
+ output wire [ 7:0] m_axi_rready,
// Transport Adapters ///////////////
@@ -926,12 +926,12 @@ module rfnoc_image_core #(
// time
wire [ 63:0] radio0_radio_time;
// radio
- wire [ 255:0] radio0_radio_rx_data;
- wire [ 7:0] radio0_radio_rx_stb;
- wire [ 7:0] radio0_radio_rx_running;
- wire [ 255:0] radio0_radio_tx_data;
- wire [ 7:0] radio0_radio_tx_stb;
- wire [ 7:0] radio0_radio_tx_running;
+ wire [1023:0] radio0_radio_rx_data;
+ wire [ 31:0] radio0_radio_rx_stb;
+ wire [ 31:0] radio0_radio_rx_running;
+ wire [1023:0] radio0_radio_tx_data;
+ wire [ 31:0] radio0_radio_tx_stb;
+ wire [ 31:0] radio0_radio_tx_running;
rfnoc_block_radio #(
.THIS_PORTID (4),
@@ -1099,12 +1099,12 @@ module rfnoc_image_core #(
// time
wire [ 63:0] radio1_radio_time;
// radio
- wire [ 255:0] radio1_radio_rx_data;
- wire [ 7:0] radio1_radio_rx_stb;
- wire [ 7:0] radio1_radio_rx_running;
- wire [ 255:0] radio1_radio_tx_data;
- wire [ 7:0] radio1_radio_tx_stb;
- wire [ 7:0] radio1_radio_tx_running;
+ wire [1023:0] radio1_radio_rx_data;
+ wire [ 31:0] radio1_radio_rx_stb;
+ wire [ 31:0] radio1_radio_rx_running;
+ wire [1023:0] radio1_radio_tx_data;
+ wire [ 31:0] radio1_radio_tx_stb;
+ wire [ 31:0] radio1_radio_tx_running;
rfnoc_block_radio #(
.THIS_PORTID (7),
@@ -1170,50 +1170,50 @@ module rfnoc_image_core #(
// axi_ram
wire [ 0:0] replay0_axi_rst;
- wire [ 3:0] replay0_m_axi_awid;
- wire [ 191:0] replay0_m_axi_awaddr;
- wire [ 31:0] replay0_m_axi_awlen;
- wire [ 11:0] replay0_m_axi_awsize;
- wire [ 7:0] replay0_m_axi_awburst;
- wire [ 3:0] replay0_m_axi_awlock;
- wire [ 15:0] replay0_m_axi_awcache;
- wire [ 11:0] replay0_m_axi_awprot;
- wire [ 15:0] replay0_m_axi_awqos;
- wire [ 15:0] replay0_m_axi_awregion;
- wire [ 3:0] replay0_m_axi_awuser;
- wire [ 3:0] replay0_m_axi_awvalid;
- wire [ 3:0] replay0_m_axi_awready;
- wire [2047:0] replay0_m_axi_wdata;
- wire [ 255:0] replay0_m_axi_wstrb;
- wire [ 3:0] replay0_m_axi_wlast;
- wire [ 3:0] replay0_m_axi_wuser;
- wire [ 3:0] replay0_m_axi_wvalid;
- wire [ 3:0] replay0_m_axi_wready;
- wire [ 3:0] replay0_m_axi_bid;
- wire [ 7:0] replay0_m_axi_bresp;
- wire [ 3:0] replay0_m_axi_buser;
- wire [ 3:0] replay0_m_axi_bvalid;
- wire [ 3:0] replay0_m_axi_bready;
- wire [ 3:0] replay0_m_axi_arid;
- wire [ 191:0] replay0_m_axi_araddr;
- wire [ 31:0] replay0_m_axi_arlen;
- wire [ 11:0] replay0_m_axi_arsize;
- wire [ 7:0] replay0_m_axi_arburst;
- wire [ 3:0] replay0_m_axi_arlock;
- wire [ 15:0] replay0_m_axi_arcache;
- wire [ 11:0] replay0_m_axi_arprot;
- wire [ 15:0] replay0_m_axi_arqos;
- wire [ 15:0] replay0_m_axi_arregion;
- wire [ 3:0] replay0_m_axi_aruser;
- wire [ 3:0] replay0_m_axi_arvalid;
- wire [ 3:0] replay0_m_axi_arready;
- wire [ 3:0] replay0_m_axi_rid;
- wire [2047:0] replay0_m_axi_rdata;
- wire [ 7:0] replay0_m_axi_rresp;
- wire [ 3:0] replay0_m_axi_rlast;
- wire [ 3:0] replay0_m_axi_ruser;
- wire [ 3:0] replay0_m_axi_rvalid;
- wire [ 3:0] replay0_m_axi_rready;
+ wire [ 7:0] replay0_m_axi_awid;
+ wire [ 383:0] replay0_m_axi_awaddr;
+ wire [ 63:0] replay0_m_axi_awlen;
+ wire [ 23:0] replay0_m_axi_awsize;
+ wire [ 15:0] replay0_m_axi_awburst;
+ wire [ 7:0] replay0_m_axi_awlock;
+ wire [ 31:0] replay0_m_axi_awcache;
+ wire [ 23:0] replay0_m_axi_awprot;
+ wire [ 31:0] replay0_m_axi_awqos;
+ wire [ 31:0] replay0_m_axi_awregion;
+ wire [ 7:0] replay0_m_axi_awuser;
+ wire [ 7:0] replay0_m_axi_awvalid;
+ wire [ 7:0] replay0_m_axi_awready;
+ wire [4191:0] replay0_m_axi_wdata;
+ wire [ 511:0] replay0_m_axi_wstrb;
+ wire [ 7:0] replay0_m_axi_wlast;
+ wire [ 7:0] replay0_m_axi_wuser;
+ wire [ 7:0] replay0_m_axi_wvalid;
+ wire [ 7:0] replay0_m_axi_wready;
+ wire [ 7:0] replay0_m_axi_bid;
+ wire [ 15:0] replay0_m_axi_bresp;
+ wire [ 7:0] replay0_m_axi_buser;
+ wire [ 7:0] replay0_m_axi_bvalid;
+ wire [ 7:0] replay0_m_axi_bready;
+ wire [ 7:0] replay0_m_axi_arid;
+ wire [ 383:0] replay0_m_axi_araddr;
+ wire [ 63:0] replay0_m_axi_arlen;
+ wire [ 23:0] replay0_m_axi_arsize;
+ wire [ 15:0] replay0_m_axi_arburst;
+ wire [ 7:0] replay0_m_axi_arlock;
+ wire [ 31:0] replay0_m_axi_arcache;
+ wire [ 31:0] replay0_m_axi_arprot;
+ wire [ 31:0] replay0_m_axi_arqos;
+ wire [ 31:0] replay0_m_axi_arregion;
+ wire [ 7:0] replay0_m_axi_aruser;
+ wire [ 7:0] replay0_m_axi_arvalid;
+ wire [ 7:0] replay0_m_axi_arready;
+ wire [ 7:0] replay0_m_axi_rid;
+ wire [4191:0] replay0_m_axi_rdata;
+ wire [ 15:0] replay0_m_axi_rresp;
+ wire [ 7:0] replay0_m_axi_rlast;
+ wire [ 7:0] replay0_m_axi_ruser;
+ wire [ 7:0] replay0_m_axi_rvalid;
+ wire [ 7:0] replay0_m_axi_rready;
rfnoc_block_replay #(
.THIS_PORTID (8),