aboutsummaryrefslogtreecommitdiffstats
path: root/fpga/usrp3/sim (follow)
Commit message (Expand)AuthorAgeFilesLines
* fpga: sim: Fix typo in clk_wait_fWade Fife2022-12-191-1/+1
* fpga: sim: Support unused tkeep in AxiStreamPacket::dump_bytes()Wade Fife2022-08-291-1/+1
* fpga: sim: Workaround Vivado bug in ChdrIfaceBfm_tbHumberto Jimenez2022-08-252-65/+85
* fpga: sim: Update struct enum initializationWade Fife2022-08-251-2/+4
* fpga: Fix first arg in calls to $fatal()Wade Fife2022-06-281-3/+3
* fpga: Remove noc_shell_regs.vh and sim_rfnoc_lib.svhMartin Braun2022-01-252-1030/+0
* fpga: sim: Add PkgComplex, PkgMath, and PkgRandomWade Fife2021-08-084-0/+544
* fpga: sim: Check for empty packet in clear_unused_bytesWade Fife2021-06-171-0/+4
* fpga: sim: Add slave_idle() to PkgAxiStreamBfm.svWade Fife2021-06-101-0/+4
* fpga: lib: Add PHASE parameter to sim_clk_genWade Fife2021-06-031-1/+3
* fpga: sim: Fix stream command and status modelsWade Fife2020-08-311-9/+9
* fpga: sim: Update PkgEthernetAndrew Moch2020-07-311-57/+91
* fpga: sim: Fix AxiLiteBfmAndrew Moch2020-07-311-3/+3
* fpga: lib: Add synthesizable AXI4-Stream SV componentsAndrew Moch2020-06-254-78/+990
* fpga: lib: Add interface and model for AXI4-LiteAndrew Moch2020-06-242-1/+750
* fpga: rfnoc: Add support for 512-bit CHDR widthsAndrew Moch2020-06-183-169/+210
* fpga: sim: Add packet_info_equal functionWade Fife2020-05-283-1/+15
* fpga: sim: Don't affect packet arguments in chdr_to_axisWade Fife2020-05-041-4/+4
* fpga: sim: Fix get_slave_data_bfm methodWade Fife2020-05-041-1/+1
* fpga: sim: Export return types in PkgRfnocBlockCtrlBfmWade Fife2020-05-041-0/+2
* fpga: sim: Export ChdrPacket in PkgRfnoBlockCtrlBfmWade Fife2020-04-141-0/+1
* sim: Rename class typedefsWade Fife2020-03-094-72/+72
* sim: Add ChdrIfaceBfm testWade Fife2020-03-095-5/+675
* sim: Add item support to RFNoC simulationWade Fife2020-03-092-34/+414
* sim: Parameterize chdr_word_t data typeWade Fife2020-03-098-208/+381
* sim: Split PkgRfnocBlockCtrlBfm into separate packagesWade Fife2020-03-095-400/+418
* Merge FPGA repository back into UHD repositoryMartin Braun2020-01-2822-0/+6222
* Removed copy of FPGA source files.Martin Braun2014-10-0727-2901/+0
* Pushing the bulk of UHD-3.7.0 code.Ben Hilburn2014-02-1427-0/+2901