aboutsummaryrefslogtreecommitdiffstats
path: root/fpga/usrp3/tools (follow)
Commit message (Expand)AuthorAgeFilesLines
* fpga: tools: Add option to save Vivado projectWade Fife2024-03-153-1/+13
* fpga: utils: Use default image core nameWade Fife2024-03-151-1/+1
* fpga: tools: Fix -quiet in modify_bdtclMax Köhler2024-03-131-1/+1
* fpga: x4xx: add option for incremental Vivado buildMax Köhler2024-03-122-8/+20
* fpga: tools: viv_ip_utils to update hdl_sources.tclMax Köhler2024-03-081-0/+29
* fpga: x400: zbx: Improve Lattice make flowMax Köhler2024-03-052-2/+11
* fpga: Update tooling to use image builder instead of makeMartin Braun2024-02-251-10/+61
* fpga: utils: Add update_image_cores.shMartin Braun2024-02-151-0/+13
* fpga: tools: Spruce up launch_vivado.pyMartin Braun2023-12-131-71/+61
* fpga: Remove dupes from DESIGN_SRCSMartin Braun2023-12-132-2/+5
* fpga: Replace references to python -> python3Martin Braun2023-12-052-2/+2
* fpga: tools: Add X440_X4_200 to X440 packageWade Fife2023-10-251-0/+5
* fpga: Add X440/FBX supportJavier Valenzuela2023-06-121-0/+37
* mpm/fpga: x4xx: Major updates in preparation for future devicesJavier Valenzuela2023-05-232-0/+201
* fpga: tools: Add X410_UC_200 image to X410 packageWade Fife2023-02-241-0/+5
* fpga: Add BUILD_BASE_DIR option to makefilesWade Fife2023-02-093-9/+9
* fpga: tools: Add repeat_fpga_build.pyWade Fife2023-02-071-0/+163
* fpga: tools: Fix error detection in run_testbenches.pyWade Fife2023-01-091-1/+1
* fpga: tools: Detect check_timing issues during buildWade Fife2022-11-181-6/+29
* fpga: Fix Vivado version check in viv_hardware_utilsWade Fife2022-09-081-1/+5
* fpga: lib: Add eth_ipv4_interface_tbWade Fife2022-08-291-0/+1
* fpga: tools: Fix HLS IP builder for Vivado 2021.1Humberto Jimenez2022-08-253-12/+7
* fpga: tools: Allow IP renaming with viv_ip_xci_editor.pySam O'Brien2022-08-251-0/+32
* fpga: tools: Add utility to upgrade TCL-based BDSam O'Brien2022-08-253-4/+53
* fpga: tools: Lattice build flow clean-upJavier Valenzuela2022-08-032-0/+35
* fpga: x400: zbx: Add support for XO3 CPLD variant.Javier Valenzuela2022-06-282-0/+100
* REVERT images: zbx lattice cpld image manifestVirendra Kakade2022-05-161-4/+6
* fpga: tools: Add CG_400 image to X410 binaries packageHumberto Jimenez2022-03-151-0/+5
* images: Remove references to N230Martin Braun2022-02-151-7/+0
* images: Add utilization report files to B2xx image filesMartin Braun2022-02-101-4/+8
* fpga: tools: Fix adding directories for HDL sourceWade Fife2022-01-131-1/+1
* fpga: hls: Add version to generated HLS IPWade Fife2022-01-131-2/+2
* images: Add the utilization report for X410 images (X4_200)Martin Braun2021-12-171-1/+3
* fpga: tools: Update Vivado scripts to use python3Wade Fife2021-12-081-4/+4
* fpga: x400: Refactor CPLDs build processHumberto Jimenez2021-12-011-4/+8
* fpga: tools: Add Quartus build utilitiesHumberto Jimenez2021-12-013-0/+163
* fpga: Update help message for setupenv.shWade Fife2021-09-101-5/+7
* fpga: tools: Add UHD_FPGA_DIR definition to synthesisWade Fife2021-09-083-6/+11
* fpga: Set default part for sim in setupenv.shWade Fife2021-08-301-5/+4
* fpga: Fix Xilinx bitfile parser for Python 3Martin Braun2021-08-241-31/+54
* fpga: sim: Add PkgComplex, PkgMath, and PkgRandomWade Fife2021-08-081-0/+2
* fpga: x400: Add makefiles for RF testbenchesWade Fife2021-06-171-3/+3
* fpga: tools: Detect assertions in ModelSim simulationWade Fife2021-06-171-2/+22
* fpga: tools: Put SIM_SRCS at end of compile orderWade Fife2021-06-171-1/+1
* fpga: tools: Support new FPGA types in viv_simulator.makWade Fife2021-06-171-2/+2
* fpga: tools: Fix python2 reference in viv_ip_builder.makWade Fife2021-06-171-1/+1
* fpga: tools: Add modelsim.excludesWade Fife2021-06-171-0/+18
* fpga: tools: Add modelsim.ini to ModelSim callsWade Fife2021-06-174-7/+38
* fpga: tools: Add features to run_testbenches.pyWade Fife2021-06-171-6/+19
* fpga: tools: Add ip target to simulation makefilesWade Fife2021-06-171-2/+5