aboutsummaryrefslogtreecommitdiffstats
path: root/fpga/usrp3/lib/sim/io_cap_gen/cat_io_lvds/cat_io_lvds_dual_mode_tb.v
blob: ecb744086b7445891aee5fe166517eea4d313f80 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
//
// Copyright 2016 Ettus Research, A National Instruments Company
//
// SPDX-License-Identifier: LGPL-3.0-or-later
//
// Module: cat_io_lvds_dual_mode_tb
//
// Description: Testbench for cat_io_lvds_dual_mode. 
//

`timescale 1ns/1ps

module cat_io_lvds_dual_mode_tb();

  localparam CLK_PERIOD    = 10;
  localparam CLK200_PERIOD = 2.5;

  localparam USE_CLOCK_IDELAY   = 1;
  localparam USE_DATA_IDELAY    = 1;
  localparam DATA_IDELAY_MODE   = "FIXED";
  localparam CLOCK_IDELAY_MODE  = "FIXED";
  localparam INPUT_CLOCK_DELAY  = 16;
  localparam INPUT_DATA_DELAY   = 0;
  localparam USE_CLOCK_ODELAY   = 1;
  localparam USE_DATA_ODELAY    = 1;
  localparam DATA_ODELAY_MODE   = "FIXED";
  localparam CLOCK_ODELAY_MODE  = "FIXED";
  localparam OUTPUT_CLOCK_DELAY = 31;
  localparam OUTPUT_DATA_DELAY  = 0;

  reg [8*19:0] test_status;
  reg          check_enabled;   // Controls when output checking is performed

  reg       clk    = 0;
  reg       rx_clk = 0;
  reg       clk200 = 0;

  reg       reset;
  reg       mimo;
  reg       tx_ch;
  reg [5:0] rx_d;
  reg       rx_frame;
  reg [7:0] rx_count = 0;

  // Each channel's data begins with a unique identifier (A../B.. or C../D..) 
  // followed by a count, which should always be sequential.
  wire [11:0] i0 = { 4'hA, rx_count };
  wire [11:0] q0 = { 4'hB, rx_count };
  wire [11:0] i1 = { 4'hC, rx_count };
  wire [11:0] q1 = { 4'hD, rx_count };

  wire radio_clk;

  reg [11:0] tx_i0;
  reg [11:0] tx_q0;
  reg [11:0] tx_i1;
  reg [11:0] tx_q1;

  wire [11:0] rx_i0;
  wire [11:0] rx_q0;
  wire [11:0] rx_i1;
  wire [11:0] rx_q1;

  wire rx_aligned;

  wire        tx_clk_p, tx_clk_n;
  wire        tx_frame_p, tx_frame_n;
  wire [5:0]  tx_d_p, tx_d_n;

  reg [4:0]  ctrl_in_data_delay;
  reg [4:0]  ctrl_in_clk_delay;
  reg        ctrl_ld_in_data_delay;
  reg        ctrl_ld_in_clk_delay;

  reg [4:0]  ctrl_out_data_delay;
  reg [4:0]  ctrl_out_clk_delay;
  reg        ctrl_ld_out_data_delay;
  reg        ctrl_ld_out_clk_delay;


  //---------------------------------------------------------------------------
  // Clock Generation
  //---------------------------------------------------------------------------

  // IODELAYCTRL reference clock
  always #(CLK200_PERIOD) clk200 = ~clk200;

  // Create an internal clock we'll use to drive the data
  always #(CLK_PERIOD) clk = ~clk;

  // RF interface clock. Half the rate of clk and out of phase
  always @(negedge clk) rx_clk <= ~rx_clk;


  //---------------------------------------------------------------------------
  // Tasks
  //---------------------------------------------------------------------------

  // Output a single burst of 2*len samples. In MIMO mode, this consists of len 
  // samples on each channel. In SISO mode, this consists of 2*len samples on  
  // the same channel.
  task Burst;
    input [31:0] len;
    input        do_mimo;
    begin
      repeat(len)
        begin
          mimo <= do_mimo;

          // Channel 0 sample
          @(posedge clk);
          rx_d <= i0[11:6];
          rx_frame <= 1;
          @(posedge clk);
          rx_d <= q0[11:6];
          rx_frame <= 1;
          @(posedge clk);
          rx_d <= i0[5:0];
          rx_frame <= do_mimo;
          @(posedge clk);
          rx_d <= q0[5:0];
          rx_frame <= do_mimo;

          // Channel 1 sample / Second channel 0 sample
          @(posedge clk);
          rx_d <= i1[11:6];
          rx_frame <= ~do_mimo;
          @(posedge clk);
          rx_d <= q1[11:6];
          rx_frame <= ~do_mimo;
          @(posedge clk);
          rx_d <= i1[5:0];
          rx_frame <= 0;
          @(posedge clk);
          rx_d <= q1[5:0];
          rx_frame <= 0;

          rx_count <= rx_count + 1;
        end
    end
  endtask // Burst


  // Test receiving/transmitting 2*len samples, checking len-2 for correctness. 
  // The output is checked by the Tx and Rx Output Checkers below. We have to 
  // be a little bit careful when we enable output checking, because it takes a 
  // few clock cycles for data to propagate through, and we don't want to check 
  // the outputs when the outputs are not valid.
  task TestBurst;
    input [31:0] len;
    input        do_mimo;
    begin
      if (len <= 2) begin
        $display("ERROR @%0t in %m: In TestBurst, len must be > 2", $time);
        $finish;
      end

      // Input several bursts, to fill the pipeline and cause results on the 
      // outputs before we start checking.
      Burst(1, do_mimo);

      // Enable output checking
      check_enabled <= 1'b1;

      // Do the requested length, minus 1
      Burst(len-2, do_mimo);

      // Disable output checking
      check_enabled <= 1'b0;

      // Give an extra output to allow data to propagate to the output
      Burst(1, do_mimo);
    end
  endtask // TestBurst


  //---------------------------------------------------------------------------
  // Test Procedure
  //---------------------------------------------------------------------------

  initial
    begin
      // Initial values
      check_enabled <= 1'b0;
      test_status <= "Reset";
      reset = 1;
      mimo  = 1;
      ctrl_in_clk_delay      = INPUT_CLOCK_DELAY;
      ctrl_in_data_delay     = INPUT_DATA_DELAY;
      ctrl_ld_in_data_delay  = 1'b0;
      ctrl_ld_in_clk_delay   = 1'b0;
      ctrl_out_clk_delay     = OUTPUT_CLOCK_DELAY;
      ctrl_out_data_delay    = OUTPUT_DATA_DELAY;
      ctrl_ld_out_data_delay = 1'b0;
      ctrl_ld_out_clk_delay  = 1'b0;
      repeat(10) @(negedge rx_clk);
      reset = 0;
      @(negedge rx_clk);

      //-----------------------------------------------------------------------
      // Test Changing Delays

      test_status <= "Load IO delays";

      if (CLOCK_IDELAY_MODE == "VAR_LOAD") begin
        ctrl_ld_in_clk_delay  = 1'b1;
        @(negedge rx_clk);
        ctrl_ld_in_clk_delay  = 1'b0;
        @(negedge rx_clk);
      end

      if (DATA_IDELAY_MODE == "VAR_LOAD") begin
        ctrl_ld_in_data_delay = 1'b1;
        @(negedge rx_clk);
        ctrl_ld_in_data_delay = 1'b0;
        @(negedge rx_clk);
      end

      if (CLOCK_ODELAY_MODE == "VAR_LOAD") begin
        ctrl_ld_out_clk_delay  = 1'b1;
        @(negedge rx_clk);
        ctrl_ld_out_clk_delay  = 1'b0;
        @(negedge rx_clk);
      end

      if (DATA_ODELAY_MODE == "VAR_LOAD") begin
        ctrl_ld_out_data_delay = 1'b1;
        @(negedge rx_clk);
        ctrl_ld_out_data_delay = 1'b0;
        @(negedge rx_clk);
      end

      //-----------------------------------------------------------------------
      // Startup

      test_status <= "Startup";

      // Pump a few clock cycles to get things started (flush out X values)
      Burst(2,1);

      //-----------------------------------------------------------------------
      // Test MIMO

      // Input data until the Rx circuit aligns
      test_status <= "Wait align 1";
      while (!rx_aligned) begin
        Burst(1,1);
      end

      // Input some new samples
      test_status <= "Burst 1 (MIMO)";
      TestBurst(30, 1);

      // Reset and do another burst
      test_status <= "Reset 2";
      reset = 1;
      repeat(20) @(negedge rx_clk);
      reset = 0;
      repeat(2) @(negedge rx_clk);      

      // Input data until the Rx circuit aligns
      test_status <= "Wait align 2";
      while (!rx_aligned) begin
        Burst(1,1);
      end

      // Input some new samples
      test_status <= "Burst 2 (MIMO)";
      TestBurst(23, 1);

      //-----------------------------------------------------------------------
      // Test SISO (transmit channel 0)

      tx_ch <= 1'b0;

      // Reset and do another burst
      test_status <= "Reset 3";
      reset = 1;
      repeat(20) @(negedge rx_clk);
      reset = 0;
      repeat(2) @(negedge rx_clk);      

      // Input data until the Rx circuit aligns in SISO mode
      test_status <= "Wait align 3";
      while (!rx_aligned) begin
        Burst(1,0);
      end

      // Test SISO mode
      test_status <= "Burst 3 (SISO, Ch 0)";
      TestBurst(25, 0);

      // Reset and do another burst
      test_status <= "Reset 4";
      reset = 1;
      repeat(20) @(negedge rx_clk);
      reset = 0;
      repeat(2) @(negedge rx_clk);      

      // Input data until the Rx circuit aligns in SISO mode
      test_status <= "Wait align 4";
      while (!rx_aligned) begin
        Burst(1,0);
      end

      // Test SISO mode
      test_status <= "Burst 4 (SISO, Ch 0)";
      TestBurst(27, 0);

      //-----------------------------------------------------------------------
      // Test SISO (transmit channel 1)

      tx_ch <= 1'b1;

      // Reset and do another burst
      test_status <= "Reset 5";
      reset = 1;
      repeat(20) @(negedge rx_clk);
      reset = 0;
      repeat(2) @(negedge rx_clk);      

      // Input data until the Rx circuit aligns in SISO mode
      test_status <= "Wait align 5";
      while (!rx_aligned) begin
        Burst(1,0);
      end

      // Test SISO mode
      test_status <= "Burst 5 (SISO, Ch 1)";
      TestBurst(25, 0);

      // Reset and do another burst
      test_status <= "Reset 6";
      reset = 1;
      repeat(20) @(negedge rx_clk);
      reset = 0;
      repeat(2) @(negedge rx_clk);      

      // Input data until the Rx circuit aligns in SISO mode
      test_status <= "Wait align 6";
      while (!rx_aligned) begin
        Burst(1,0);
      end

      // Test SISO mode
      test_status <= "Burst 6 (SISO, Ch 1)";
      TestBurst(27, 0);

      //-----------------------------------------------------------------------
      // Done

      test_status <= "Finished";
      repeat(50) @(negedge rx_clk);

      $finish;
    end


  //---------------------------------------------------------------------------
  // Rx Output Checker
  //---------------------------------------------------------------------------
  //
  // In MIMO mode, we expect to see:
  //
  //   rx_i0:  A00, A01, A02, A03, ...
  //   rx_q0:  B00, B01, B02, B03, ...
  //   rx_i1:  C00, C01, C02, C03, ...
  //   rx_q1:  D00, D01, D02, D03, ...
  //
  // In SISO mode, we expect to see (with twice the clock rate):
  //
  //   rx_i0:  A00, C00, A01, C01, ...
  //   rx_q0:  B00, D00, B01, D01, ...
  //   rx_i1:  A00, C00, A01, C01, ...
  //   rx_q1:  B00, D00, B01, D01, ...
  //
  //---------------------------------------------------------------------------

  reg first_rx_check = 1'b1;
  reg [11:0] rx_i0_del1, rx_i0_del2;
  reg [11:0] rx_q0_del1, rx_q0_del2;
  reg [11:0] rx_i1_del1, rx_i1_del2;
  reg [11:0] rx_q1_del1, rx_q1_del2;

  always @(posedge radio_clk)
  begin
    if (check_enabled) begin
      if (!first_rx_check) begin

        if (mimo) begin

          // Check prefix for channel 0
          if (rx_i0[11:8] != 4'hA || rx_q0[11:8] != 4'hB) begin
            $display("ERROR @%0t in %m: Rx channel 0 didn't have expected A/B prefix in MIMO mode", $time);
            $finish;
          end

          // Check prefix for channel 1
          if (rx_i1[11:8] != 4'hC || rx_q1[11:8] != 4'hD) begin
            $display("ERROR @%0t in %m: Rx channel 1 didn't have expected C/D in MIMO mode", $time);
            $finish;
          end

          // All outputs should have the same count in MIMO mode
          if (! (rx_i0[7:0] == rx_q0[7:0] &&
                 rx_i0[7:0] == rx_i1[7:0] && 
                 rx_i0[7:0] == rx_q1[7:0]) ) begin
            $display("ERROR @%0t in %m: Rx data counts didn't match on all outputs in MIMO mode", $time);
            $finish;
          end

          // Make sure the count increments
          if (rx_i0[7:0] != rx_i0_del1[7:0] + 8'd1 || rx_q0[7:0] != rx_q0_del1[7:0] + 8'd1 ||
              rx_i1[7:0] != rx_i1_del1[7:0] + 8'd1 || rx_q1[7:0] != rx_q1_del1[7:0] + 8'd1) begin
            $display("ERROR @%0t in %m: Rx data count didn't increment as expected", $time);
            $finish;
          end

        end else begin  // if (mimo)

          // In SISO mode, both outputs should be the same
          if (rx_i0 != rx_i1 || rx_q0 != rx_q1) begin
            $display("ERROR @%0t in %m: Rx channel 0 and 1 don't match in SISO mode", $time);
            $finish;
          end

          // Check channel 0 prefix. No need to check channel 1, since we 
          // already checked that the channels match.
          if (!((rx_i0[11:8] == 4'hA && rx_q0[11:8] == 4'hB) || 
                (rx_i0[11:8] == 4'hC && rx_q0[11:8] == 4'hD))) begin
            $display("ERROR @%0t in %m: Rx data didn't have expected A/B or C/D prefix in SISO mode", $time);
            $finish;
          end

          // Make sure we're alternating between channel data. No need to check 
          // channel 1, since we already checked that the channels match.
          if (!((rx_i0[11:8] == 4'hA && rx_i0_del1[11:8] == 4'hC) ||
                (rx_i0[11:8] == 4'hC && rx_i0_del1[11:8] == 4'hA) ||
                (rx_q0[11:8] == 4'hB && rx_q0_del1[11:8] == 4'hD) ||
                (rx_q0[11:8] == 4'hD && rx_q0_del1[11:8] == 4'hB))) begin
            $display("ERROR @%0t in %m: Rx data not toggling between channel data in SISO mode", $time);
            $finish;
          end

          // Make sure the counts are the same for both I and Q. No need to 
          // check channel 1, since we already checked that the channels match.
          if (rx_i0[7:0] != rx_q0[7:0]) begin
            $display("ERROR @%0t in %m: Rx data counts didn't match on all outputs in SISO mode", $time);
            $finish;
          end

          // Make sure the count increments every other clock cycle. No need to 
          // check channel 1, since we already checked that the channels match.
          if (!(
              rx_i0[7:0] != rx_i0_del2[7:0] + 8'd1 && (rx_i0[7:0] == rx_i0_del1[7:0] || rx_i0[7:0] == rx_i0_del1[7:0] + 8'd1) &&
              rx_q0[7:0] != rx_q0_del2[7:0] + 8'd1 && (rx_q0[7:0] == rx_q0_del1[7:0] || rx_q0[7:0] == rx_q0_del1[7:0] + 8'd1)
            )) begin
            $display("ERROR @%0t in %m: Rx data count didn't increment as expected", $time);
            $finish;
          end

        end  // if (mimo)
      end  // if (!first_rx_check)

      // Make sure we've captured at least one set of values, so we have a 
      // previous set to look back to.
      first_rx_check <= 1'b0;

    end else begin  // if (check_enabled)
      first_rx_check <= 1'b1;
    end  // if (check_enabled)

    // Save values seen this cycle
    rx_i0_del1 <= rx_i0;
    rx_q0_del1 <= rx_q0;
    rx_i1_del1 <= rx_i1;
    rx_q1_del1 <= rx_q1;
    rx_i0_del2 <= rx_i0_del2;
    rx_q0_del2 <= rx_q0_del2;
    rx_i1_del2 <= rx_i1_del2;
    rx_q1_del2 <= rx_q1_del2;
  end


  //---------------------------------------------------------------------------
  // Tx Output Checker
  //---------------------------------------------------------------------------
  //
  // The code implements a loopback, so the output should match the input. In 
  // SISO mode, however, the frame signal may not be aligned.
  //
  //---------------------------------------------------------------------------

  reg first_tx_check;
  reg [11:0] tx_i0_del1;
  reg [11:0] tx_q0_del1;
  reg [11:0] tx_i1_del1;
  reg [11:0] tx_q1_del1;
  reg        tx_frame_del1;

  reg [11:0] tx_i0_check;
  reg [11:0] tx_q0_check;
  reg [11:0] tx_i1_check;
  reg [11:0] tx_q1_check;
  reg [7:0]  tx_frame_check;


  always @(posedge tx_clk_p)
  begin
    tx_frame_del1 <= tx_frame_p;
  end


  always @(posedge tx_clk_p)
  begin
    if (tx_frame_p && !tx_frame_del1) begin
      //-----------------------------------------------------------------------
      // Grab two samples from the output, starting at frame boundary
      //-----------------------------------------------------------------------

      // Channel 0 sample
      tx_i0_check[11:6] <= tx_d_p;
      tx_frame_check[7] <= tx_frame_p;
      @(posedge tx_clk_n);
      tx_q0_check[11:6] <= tx_d_p;
      tx_frame_check[6] <= tx_frame_p;
      @(posedge tx_clk_p);
      tx_i0_check[5:0] <= tx_d_p;
      tx_frame_check[5] <= tx_frame_p;
      @(posedge tx_clk_n);
      tx_q0_check[5:0] <= tx_d_p;
      tx_frame_check[4] <= tx_frame_p;

      // Channel 1 sample / Second channel 0 sample
      @(posedge tx_clk_p);
      tx_i1_check[11:6] <= tx_d_p;
      tx_frame_check[3] <= tx_frame_p;
      @(posedge tx_clk_n);
      tx_q1_check[11:6] <= tx_d_p;
      tx_frame_check[2] <= tx_frame_p;
      @(posedge tx_clk_p);
      tx_i1_check[5:0] <= tx_d_p;
      tx_frame_check[1] <= tx_frame_p;
      @(posedge tx_clk_n);
      tx_q1_check[5:0] <= tx_d_p;
      tx_frame_check[0] <= tx_frame_p;

      #1   // Minimum delay for *_check registers to update in simulation

      if (check_enabled) begin
        if (!first_tx_check) begin
   
          if (mimo) begin
            //-----------------------------------------------------------------
            // Check MIMO output
            //-----------------------------------------------------------------

            // Check that the frame signal is correct
            if (tx_frame_check != 8'b11110000) begin
              $display("ERROR @%0t in %m: Tx frame was not correct in MIMO mode", $time);
              $finish;
            end
  
            // Check prefix for channel 0
            if (tx_i0_check[11:8] != 4'hA || tx_q0_check[11:8] != 4'hB) begin
              $display("ERROR @%0t in %m: Tx channel 0 didn't have expected A/B prefix in MIMO mode", $time);
              $finish;
            end
  
            // Check prefix for channel 1
            if (tx_i1_check[11:8] != 4'hC || tx_q1_check[11:8] != 4'hD) begin
              $display("ERROR @%0t in %m: Tx channel 1 didn't have expected C/D in MIMO mode", $time);
              $finish;
            end
  
            // All outputs should have the same count in MIMO mode
            if (! (tx_i0_check[7:0] == tx_q0_check[7:0] && 
                   tx_i0_check[7:0] == tx_i1_check[7:0] &&
                   tx_i0_check[7:0] == tx_q1_check[7:0]) ) begin
              $display("ERROR @%0t in %m: Rx data counts didn't match on all outputs in MIMO mode", $time);
              $finish;
            end
  
            // Make sure the count increments
            if (tx_i0_check[7:0] != tx_i0_del1[7:0] + 8'd1 || tx_q0_check[7:0] != tx_q0_del1[7:0] + 8'd1 ||
                tx_i1_check[7:0] != tx_i1_del1[7:0] + 8'd1 || tx_q1_check[7:0] != tx_q1_del1[7:0] + 8'd1) begin
              $display("ERROR @%0t in %m: Rx data count didn't increment as expected", $time);
              $finish;
            end
          
          end else begin  
            //-----------------------------------------------------------------
            // Check SISO Output
            //-----------------------------------------------------------------

            // Check that the frame signal is correct
            if (tx_frame_check != 8'b11001100) begin
              $display("ERROR @%0t in %m: Tx frame was not correct in SISO mode", $time);
              $finish;
            end


            // In SISO mode, the data we get depends on which channel is 
            // selected.
            //
            //        Channel 0:                   Channel 1:
            //  ...,A01,B01,A02,B02,...  OR  ...,C01,D01,C02,D02,...
            // 
            // So we should receive
            //
            //       A01 A03 A05
            //   ... B01 B03 B05 ...
            //       A02 B04 A06
            //       B02 B04 A07
            //
            // or
            //       C01 C03 C05
            //   ... D01 D03 D05 ...
            //       C02 C04 C06
            //       D02 D04 D07
            //

            // Check prefixes
            if (!(
              // Either A,B on channel 0 or C,D on channel 1
              ((tx_ch == 0 &&
                tx_i0_check[11:8] == 4'hA &&
                tx_q0_check[11:8] == 4'hB) ||
               (tx_ch == 1 && 
                tx_i0_check[11:8] == 4'hC &&
                tx_q0_check[11:8] == 4'hD)) && 
              // Samples 0 and 1 prefixes equal samples 2 and 3 prefixes
              (tx_i0_check[11:8] == tx_i1_check[11:8]  && 
               tx_q0_check[11:8] == tx_q1_check[11:8])
              )) begin
              $display("ERROR @%0t in %m: Tx channel didn't have expected prefixes in SISO mode", $time);
              $finish;
            end
  
            // Check that the data count matches between samples
            if (!(
              tx_i0_check[7:0] == tx_q0_check[7:0] &&
              tx_i1_check[7:0] == tx_q1_check[7:0] &&
              tx_i0_check[7:0] == tx_i1_check[7:0] - 8'd1
              )) begin
              $display("ERROR @%0t in %m: Tx channel data counts didn't correlate in SISO mode", $time);
              $finish;
            end

            // Make sure the count increments form one burst to the next
            if (tx_i0_check[7:0] != tx_i0_del1[7:0] + 8'd2 || 
                tx_q0_check[7:0] != tx_q0_del1[7:0] + 8'd2 ||
                tx_i1_check[7:0] != tx_i1_del1[7:0] + 8'd2 ||
                tx_q1_check[7:0] != tx_q1_del1[7:0] + 8'd2) begin
              $display("ERROR @%0t in %m: Tx data count didn't increment as expected", $time);
              $finish;
            end
          
          end

        end else begin  // if (!first_tx_check)
          // Make sure we've captured at least one set of values, so we have a 
          // previous set to look back to.
          first_tx_check <= 1'b0;
        end  // if (!first_tx_check)

        // Save values seen this cycle
        tx_i0_del1 <= tx_i0_check;
        tx_q0_del1 <= tx_q0_check;
        tx_i1_del1 <= tx_i1_check;
        tx_q1_del1 <= tx_q1_check;

      end else begin  // if (check_enabled)        
        first_tx_check <= 1'b1;

      end  // if (check_enabled)

    end  // if (tx_frame_p && !tx_frame_del1)

  end


  //---------------------------------------------------------------------------
  // Tx Input Data Generation
  //---------------------------------------------------------------------------
  //
  // Input a known data pattern similar to the Rx patten.
  //
  //   I0:     A01 A02 A03
  //   Q0: ... B01 B02 B03 ...
  //   I1:     C01 C02 C03
  //   Q1:     D01 D02 D03
  //
  //---------------------------------------------------------------------------

  reg [7:0] tx_count = 0;

  // Loop the Rx interface of DUT back to its Tx interface
  always @(posedge radio_clk) begin
    tx_i0 <= { 4'hA, tx_count };
    tx_q0 <= { 4'hB, tx_count };
    tx_i1 <= { 4'hC, tx_count };
    tx_q1 <= { 4'hD, tx_count };
    tx_count <= tx_count + 7'd1;
  end


  //---------------------------------------------------------------------------
  // DUT
  //---------------------------------------------------------------------------

  cat_io_lvds_dual_mode #(
    .INVERT_FRAME_RX    (0),
    .INVERT_DATA_RX     (6'b00_0000),
    .INVERT_FRAME_TX    (0),
    .INVERT_DATA_TX     (6'b00_0000),
    .USE_CLOCK_IDELAY   (USE_CLOCK_IDELAY),
    .USE_DATA_IDELAY    (USE_DATA_IDELAY),
    .DATA_IDELAY_MODE   (DATA_IDELAY_MODE),
    .CLOCK_IDELAY_MODE  (CLOCK_IDELAY_MODE),
    .INPUT_CLOCK_DELAY  (INPUT_CLOCK_DELAY),
    .INPUT_DATA_DELAY   (INPUT_DATA_DELAY),
    .USE_CLOCK_ODELAY   (USE_CLOCK_ODELAY),
    .USE_DATA_ODELAY    (USE_DATA_ODELAY),
    .DATA_ODELAY_MODE   (DATA_ODELAY_MODE),
    .CLOCK_ODELAY_MODE  (CLOCK_ODELAY_MODE),
    .OUTPUT_CLOCK_DELAY (OUTPUT_CLOCK_DELAY),
    .OUTPUT_DATA_DELAY  (OUTPUT_DATA_DELAY)
  ) cat_io_lvds_dual_mode_dut (
    .rst    (reset),
    .clk200 (clk200),
    
    // Data and frame timing
    .a_mimo  (mimo),
    .a_tx_ch (tx_ch),
    
    // Delay control interface
    .ctrl_clk               (rx_clk),
    //
    .ctrl_in_data_delay     (ctrl_in_data_delay),
    .ctrl_in_clk_delay      (ctrl_in_clk_delay),
    .ctrl_ld_in_data_delay  (ctrl_ld_in_data_delay),
    .ctrl_ld_in_clk_delay   (ctrl_ld_in_clk_delay),
    //
    .ctrl_out_data_delay    (ctrl_out_data_delay),
    .ctrl_out_clk_delay     (ctrl_out_clk_delay),
    .ctrl_ld_out_data_delay (ctrl_ld_out_data_delay),
    .ctrl_ld_out_clk_delay  (ctrl_ld_out_clk_delay),
    
    // Baseband sample interface
    .radio_clk  (radio_clk),
    .rx_aligned (rx_aligned),
    //
    .rx_i0      (rx_i0),
    .rx_q0      (rx_q0),
    .rx_i1      (rx_i1),
    .rx_q1      (rx_q1),
    //
    .tx_i0      (tx_i0),
    .tx_q0      (tx_q0),
    .tx_i1      (tx_i1),
    .tx_q1      (tx_q1),
    
    // Catalina interface
    .rx_clk_p   (rx_clk),
    .rx_clk_n   (~rx_clk),
    .rx_frame_p (rx_frame),
    .rx_frame_n (~rx_frame),
    .rx_d_p     (rx_d),
    .rx_d_n     (~rx_d),
    //
    .tx_clk_p   (tx_clk_p),
    .tx_clk_n   (tx_clk_n),
    .tx_frame_p (tx_frame_p),
    .tx_frame_n (tx_frame_n),
    .tx_d_p     (tx_d_p),
    .tx_d_n     (tx_d_n)
  );

endmodule // cat_io_lvds_dual_mode_tb